Wednesday, May 30, 2018

ASM International Investor Presentation - Slideshow

The following slide deck was published by ASM International N.V. in conjunction with the Investor Presentation event.
 
Seeking Alpha: LINK
 
ASM International : LINK (pdf)
 
 

Friday, May 25, 2018

The blog is down for GDPR alignment

The blog is down for GDPR alignment. Please enjoy the weekend.

TSMC: TSMC is reportedly making 7-nanometer Apple A12 chips for 2018 iPhones

Taiwanese semiconductor manufacturer TSMC has officially started mass-producing 7-nanometer (nm) chips intended for Apple’s 2018 iPhones, Bloomberg reports, setting the stage for annual performance and power efficiency improvements. The new processors are expected to carry Apple’s A12 name this year and to continue at the 7nm size through at least 2019, with the debut of the A13 next year.

Previously considered difficult to manufacture, 7nm chips are expected to debut in new Apple devices ahead of their use in Samsung phones next year, as well as in products using Qualcomm Snapdragon processors. Qualcomm has announced that its first 5G phones will use 7nm chips when they debut in early 2019.

Source: VentureBeat LINK

Veeco Instruments: Veeco's revenue grows 14% in Q1 to $158.6m, driven by MOCVD system shipments to China

For first-quarter 2018, epitaxial deposition and process equipment maker Veeco Instruments Inc of Plainview, NY, USA has reported a seventh consecutive quarter of revenue growth, to $158.6m (well above the midpoint of the $140-165m guidance). This is up 14% on $139.7m last quarter (adjusted from $143.4m after adopting the ASC 606 revenue recognition standard on 1 January) and up 68% on $94.5m a year ago.

“2018 is off to a great start with strong sequential and year-over-year revenue growth,” says chairman & CEO John R. Peeler. “Sales growth in the first quarter was driven primarily by shipments of our lithography systems into the advanced packaging market, and shipments of MOCVD systems for LED applications.”

Of total revenue, the LED Lighting, Display and Compound Semiconductor segment hence comprised 57%, growing to $90m, reflecting strong 2018 opening metal-organic chemical vapor deposition (MOCVD) backlog (delivering systems to five customers in China).

Source: Semiconductor Today LINK


Monday, May 21, 2018

ASML wins first order from China

TAIPEI -- Semiconductor Manufacturing International Co., China's top state-backed contract chipmaker, has placed an order for one set of extreme-ultraviolet lithography equipment, the costliest and most advanced chip production tool, to close technology gaps with market leaders and to secure the supply of critical gear amid trade tensions between the U.S. and China, according to people familiar with the matter.
 
 
The company's move to purchase its first EUV lithography equipment from Dutch chip gear builder ASML, worth $120 million, highlights its growing ambition to help boost Chinese homegrown semiconductor manufacturing technology, even though it is still two to three generations behind market leaders. The move also secures the supply of the cutting-edge lithography tool that all top global chip giants, including Intel, Samsung Electronics and Taiwan Semiconductor Manufacturing Co., are buying to ensure the later production of more powerful and advanced chips.

Full story: Nikkei Asian Review LINK
 
Read more at DutchNews.nl: LINK

Chipmachine marker ASML of Veldhoven has won its first-ever order from China, the Financieele Dagblad reported on Wednesday. The paper said Chinese Semiconductor Manufacturing International has ordered a $120m extreme ultraviolet lithography machine from ASML which never identifies its customers. Citing Nikkei Asian Review the FD said this is the latest in a series of orders for the EUV machines from ASML.


AMEC first Chinese firm to be ranked in VLSI Research’s Customer Satisfaction Survey

SHANGHAI, China, May 17, 2018 – Advanced Micro-Fabrication Equipment Inc. (AMEC) today announced that it has achieved high scores in the 2018 Customer Satisfaction Survey (CSS) conducted annually by leading US market research firm, VLSIresearch. The survey, which began in 1988, is the only one of its kind that lets customers in multiple regions provide anonymous feedback on their overall experience with worldwide suppliers of semiconductor equipment and subsystems. AMEC is the only China-based company to be recognized in the list of winners that includes companies from the US, Europe, Asia and Israel.

AMEC earned a second-place ranking in the 10 BEST Focused Suppliers of Chip Making Equipment category, with customers citing the company as a trusted and recommended supplier. AMEC was also ranked in two additional survey categories, achieving third place in THE BEST Suppliers of Fab Equipment and fourth place in THE BEST Suppliers of Fab Equipment to Specialty Chip Makers.
 

AMEC’s CEO, Dr. Gerald Z. Yin, remarked that providing an exemplary customer experience is a success imperative for a company offering advanced micro-fabrication equipment. “We are very pleased that customers recognize AMEC’s value and benefit from the performance, productivity, quality, and cost advantages of our Etch and MOCVD technology and products,” he said. “It is a special thrill when their satisfaction with our service and support is also recognized in a prestigious global survey.”

Dr. Yin continued: “AMEC is still a young and fast-growing company, however. As we journey to maturity, we must work harder and smarter to continuously innovate and improve so that we may become an even better supplier to our customers. We’re grateful to work with such innovative companies in several regions, and we appreciate their ongoing support.”

VLSIresearch’s President, Risto Puhakka, commended AMEC for the company’s strong showing in its first VLSIresearch CSS. “We are impressed by the quality feedback shared by customers on AMEC’s behalf, as well as their enthusiasm for the technology solutions and support they receive from their supplier. This is the first time that a China-based company has ranked in the CSS. It is an indication of China’s fast-growing semiconductor manufacturing industry which is being well served by leading indigenous process technology companies like AMEC, as well as other global players.”

AMEC’s COO, Dr. Zhiyou Du, noted that ensuring customer satisfaction would be impossible without the company’s talented employees. “At our headquarters and in the field, every AMEC employee is committed to supporting and helping our global customers innovate new products and achieve success in their respective markets. The CSS results reflect their dedication and accomplishments. Maintaining high satisfaction levels is a strategic imperative for AMEC and we will continue to invest in the people, technology and infrastructure to meet the imperative.”

Samsung and SK Hynix to increase fab investment in semiconductors

The Korea Times Report: Samsung Electronics and SK hynix plan to invest up to 45 trillion won to enhance their semiconductor manufacturing facilities mostly in Korea, officials directly involved with the matter said Monday.

While consumers may know the brands for handsets and TVs, Samsung is the long-time leader in the semiconductor industry. SK hynix is the runner-up.

According to major investment banks, semiconductors generated almost half the operating revenue of Samsung Electronics last year. The latest investment means revenue could swell further.
 

"The combined investment by Samsung Electronics and SK hynix in facilities throughout this year will be 45 trillion won because Samsung is increasing its investment in chip fabrication facilities to 30 trillion won from an earlier 27.3 trillion won," said an official wishing to remain anonymous. That will see SK hynix increase its capital expenditure to 15 trillion won.

An SK hynix official said its adjusted 2018 investment is subject to change, according to market conditions. The company, affiliated with SK Group, earlier said it would invest 13 trillion won. The SK unit is actively using high chip prices to lift its position in the industry with the aggressive spending. 

It is part of a consortium led by U.S.-based fund Bain Capital that agreed to purchase Toshiba's memory chip business, which was recently approved by China.

Veeco Instruments: ON Semi Orders Veeco MOCVD System

ON Semiconductor Inc. has ordered the Propel High-Volume Manufacturing (HVM) Gallium Nitride (GaN) Metal Organic Chemical Vapor Deposition (MOCVD) system from Veeco Instruments Inc.
 
The Propel GaN MOCVD system is specifically designed for high-voltage power-management devices used in data centers; automotive, information and communication technology; defense; aerospace and power distribution systems, among other applications.
 

Propel Power GaN MOCVD System for Power Electronics. A Single-Wafer Reactor Technology to Enable Efficient, GaN-Based Power Devices (LINK)
 
"Our prior learning with Veeco's K465i GaN MOCVD system drove us to investigate the Propel HVM platform for our production ramp," said Marnix Tack, senior director of corporate R&D and open innovation at ON Semiconductor. 
 
"The beta test results demonstrated superior device performance with high uniformity and within-wafer and wafer-to-wafer repeatability, while meeting our cost-of-ownership targets for six- and eight-inch wafers. As such, the Propel HVM system proved to be the most suitable platform for our power electronics manufacturing needs."
 
The Propel HVM platform is based on Veeco's innovative single-wafer system with proprietary IsoFlange and SymmHeat technologies that provide homogeneous laminar flow and uniform temperature profile across the entire wafer. The system enables production of power electronics, laser diodes, radio frequency devices and advanced LEDs with higher performance and production yields while ensuring low cost of ownership.

Source: Photonics LINK

Tuesday, May 8, 2018

TSMC Details 5 nm Process Technology

At a special event last week, TSMC announced the first details about its 5 nm manufacturing technology that it plans to use sometime in 2020. CLN5 will be the company's second fabrication process to use extreme ultraviolet (EUV) lithography, which is going to enable TSMC to aggressively increase its transistor density versus prior generations. However, when it comes to performance and power improvements, the gains do not look very significant.Just like other fabs, TSMC will gradually ramp up usage of ASML's Twinscan NXE:3400 EUV step and scan systems. 
 
Next year TSMC will start using EUV tools to pattern non-critical layers of chips made using its second-gen 7 nm fabrication technology (CLN7FF+). Usage of EUV for non-critical layers will bring a number of benefits to the CLN7FF+ vs. the original CLN7FF process, but the advantages will be limited: TSMC expects the CLN7FF+ to offer a 20% higher transistor density and a 10% lower power consumption at the same complexity and frequency when compared to the CLN7FF. 
 
TSMC's 5 nm (CLN5) technology will increase the usage of EUV tools and this will bring rather massive advantages when it comes to transistor density: TSMC is touting a 1.8x higher transistor density (~45% area reduction) when compared to the original CLN7FF, but it will only enable a 15% frequency gain (at the same complexity and power) or a 20% power reduction (at the same frequency and complexity). With the CLN5, TSMC will also offer an Extremely Low Threshold Voltage (ELTV) option that will enable its clients to increase frequencies of their chips by 25%, but the manufacturer has yet to describe the tech in greater detail.The rather small, incremental improvements that TSMC is discussing for the CLN7FF to CLN7FF+ and CLN7FF+ to CLN5 transitions indicate that it gets increasingly harder to offer decent gains from generation to the next. It remains to be seen whether all of TSMC's leading-edge customers will keep adopting all the latest process technologies that the company offers, or will miss certain cycles given small incremental increases, but large companies (such as Apple) adopted all the latest fabrication processes in the recent years (i.e., it made economic sense to adopt them). 
 
Source: AnandTech LINK

Picosun aims for even stronger growth with bridge financing while preparing to be listed

ESPOO, Finland, 8th May, 2018 – Picosun Group, a leading provider of ALD (Atomic Layer Deposition) thin film coating technology for global industries, has decided of minimum one and a half million euros’ increase of the share capital in its Extraordinary General Meeting. This sum, coming from the existing shareholders, is a part of minimum five million euros’ bridge financing.

”We have invested a lot of money in research and development, which shows now in extremely strong growth. With the bridge financing we enable acceleration of this growth while preparing to be listed,” says Mr. Kustaa Poutiainen, Chairman of the Board and CEO of Picosun Group.

In the previous fiscal year, which ended 30th September 2017, Picosun’s turnover grew 28 percent to 18.9 million euros. During the first half of the current fiscal year the growth increased to 61 percent.

The growth continues, as in the end of March 2018 the value of Picosun’s 12 month cumulative received new orders was 27.8 million euros. As of today, the company’s order backlog is worth 11 millions. At the same time, Picosun has improved its profit.

”We have earned the trust of also our big, industrial customers, which is why especially our repeat sales have grown. We will always take good care of our R&D, agility, and fulfilling our customers’ needs,” Poutiainen continues.

In the first half of the current fiscal year Picosun’s net profit was 1.2 million euros.

ALD is a Finnish invention, patented by Dr. Tuomo Suntola already in 1974. Suntola is a Picosun Board Member and one of the owners of the company.

Ultra-thin and pinhole-free films deposited with Picosun’s ALD equipment cover perfectly even three-dimensional surfaces. ALD technology is a necessity in microelectronics and LED industries and in manufacturing protective coatings on various objects.

The use of ALD expands fast, as new applications emerge all the time.

”We are especially excited when the new financing enables us to help also the health industries to develop. Picosun has developed ALD coating solutions for e.g. surgical implants and medicines, and our customers are already using these solutions in their production. We believe that ALD can give a giant boost to health industries, just like it did to electronics industry,” states Poutiainen.

Monday, May 7, 2018

Veeco Instruments reports revenue jumped to $158.6 million in 1Q/2018

Veeco Instruments Inc. Monday reported a jump in first-quarter revenue driven in part by strong demand for its equipment used in producing light-emitting diodes. The Plainview company had revenue of $158.6 million for the quarter ended March 31. That compared wuth revenue of $94.5 million in the 2017 period.

John R. Peeler, Veeco's chairman and chief executive, in a statement, attributed the revenue growth to shipments of specialized lithography systems and equipment used in producing LEDs. The company posted a net loss of $15.8 million, or 34 cents per share, versus net income of $1.6 million, or 4 cents a share in the 2017 period.

Source: Newsday LINK

Aixtron: VPEC expands capacities with Aixtron technology

Taiwanese epi foundry, Visual Photonics Epitaxy Co. Ltd. (VPEC), chooses Aixtron MOCVD systems to meet growing demand for lasers and other devices.
Aixtron will deliver all AIX 2800G4 reactors with an 8x6-inch wafer configuration for maximum yield at high quality levels.

“Aixtron is the leading provider of high-volume manufacturing equipment for arsenide phosphide (AsP) based materials. As a proven tool for high-volume production in the area of photonic applications, the AIX 2800G4 system delivers exactly the outstanding uniformity and reproducibility, but also flexibility and versatility that we require as an epi foundry to serve the needs of our demanding customers,” Neil Chen, Senior Vice President of VPEC, says in the release.

“Driven by the growing number of applications and the continuing penetration of high-end communication technology, the demand for arsenide phosphide based optoelectronic devices such as VCSELs or datacom lasers is expected to increase further. Based on a longstanding and trustful relationship with VPEC, we are looking forward to support the growth of one of the leading epi foundries in Asia”, says Dr. Bernd Schulte, President of Aixtron SE.

Source: Evertiq LINK

Friday, May 4, 2018

TSMC ramps 7nm and details technology roadmap including EUV for early 2019

[EE Times] TSMC announced that it is in volume production with a 7-nm process and will have a version using extreme ultraviolet (EUV) lithography ramping early next year. In addition, it gave its first timeline for a 5-nm node and announced a half-dozen new packaging options.
TSMC is in volume production of 7-nm chips today with more than 50 tapeouts expected this year. It’s making CPUs, GPUs, AI accelerators, cryptocurrency mining ASICs, networking, gaming, 5G, and automotive chips.

The node delivers 35% more speed or uses 65% less power and sports a 3x gain in routed gate density compared to the 16FF+ generation two steps before it. By contrast, the N7+ node with EUV will only deliver 20% more density, 10% less power, and apparently no speed gains — and those advances require use of new standard cells.

Full article : EE Times LINK 
According to TSMC, their 7nm Fin Field-Effect Transistor (FinFET) process technology provides the industry's most competitive logic density and sets the industry pace for 7nm process technology development by delivering 256Mb SRAM with double-digit yields in June 2016. Risk production started in April 2017.
TSMC's 7nm Fin Field-Effect Transistor (FinFET) process technology [TSMC.com]

TSMC's 5 nm and a the later version of 7 nm FinFET will adopt EUV Lithography for more critical layers to reduce multi-pattern process complexity while achieving aggressive die area scaling. 
As reported in April, Intel has pushed out their 10 nm node to some time in 2019 due to yield issues in multiple patterning (LINK). The Intel 10 nm is comparable in transistor density too the Foundry 7 nm nodes (see graph below), which mean that by this announcement TSMC has taken over the lead from Intel in scaling.
Intel's Mark Bohr also declared the standard node inadequate and proposed that transistor density be used as a metric instead. However, Scotten Jones has calculated transistor densities for the manufacturers' processes using the Intel definition. When these are plotted against standard nodes, the densities are exactly what one would expect from the standard node values. The Intel transistor densities are values disclosed by Intel, rather than calculated. [Seeking Alpha, LINK]


SEMI reports 2017 Global Semiconductor Materials Sales Reach $46.9 Billion

[SEMI] The global semiconductor materials market increased 9.6 percent while worldwide semiconductor revenues increased 21.6 percent from the prior year in 2017. Total wafer fabrication materials and packaging materials totaled $27.8 billion and $19.1* billion, respectively, in 2017. In 2016, the wafer fabrication materials and packaging materials markets logged revenues of $24.7 billion and $18.2 billion, respectively, for 12.7 percent and 5.4 percent year-over-year increases, according to the SEMI Materials Market Data Subscription. 
 
For the eighth consecutive year, Taiwan, at $10.3 billion, was the largest consumer of semiconductor materials due to its large foundry and advanced packaging base. China solidified its hold on the second spot, followed by South Korea and Japan. The Taiwan, China, Europe and South Korea markets saw the strongest revenue growth, while the North America, Rest of World (ROW) and Japan materials markets experienced moderate single-digit growth. (The ROW region is defined as Singapore, Malaysia, Philippines, other areas of Southeast Asia and smaller global markets.)
 
 

Full report: LINK

Wednesday, May 2, 2018

Aixtron: Aixtron's orders grow 20% in Q1, driven by MOCVD systems for lasers in 3D sensing and datacoms


For first-quarter 2018, deposition equipment maker Aixtron SE of Herzogenrath, near Aachen, Germany has reported revenue of €62.4m, up 15% on €54.1m last quarter and 16% on €53.6m a year ago, driven by demand for metal-organic chemical vapor deposition (MOCVD) systems for making lasers such as vertical-cavity surface-emitting lasers (VCSELs) in 3D sensing as well as lasers for optical datacom applications.

Specifically, equipment revenue was €50.8m, up 17% on €43.5m a year ago (remaining 81% of total revenue). Meanwhile, revenue from spare parts & services has grown by 15% from €10.1m a year ago to €11.6m. 

 
Of equipment revenue, the proportion from MOCVD systems for the production of LEDs (including red-orange-yellow ‘ROY’ and specialty LEDs) shrank from 52% to just 14% (after selling its inventory of AIX R6 gallium nitride blue LED systems) and Power Electronics fell from 19% to 11%, while systems for the production of Optoelectronics (excluding LEDs) have proliferated from just 7% to 70%. This is after systems for Silicon comprised 20% of revenue a year ago, including the atomic layer deposition/chemical vapor deposition (ALD/CVD) product line for memory chip production (based at US subsidiary Aixtron Inc in Sunnyvale, CA, USA) that was sold to Eugene Technology Inc in November.

Source: Semiconductor Today LINK

Tokyo Electron is Challenging ASM International as The Leader in ALD Market share

Tokyo Electron recently (APR 25, 2018) presented their Q1/2018 numbers to share holders and released a slide deck (LINK) with some interesting new numbers on market share. For the first time it seems that another OEM is up there seriously challenging ASM International on the No.1 spot in ALD Equipment market share. ASM International has dominated the ALD segment with a share of >70% in 2014, but this share has slipped down year by year they have  lost their market share to well below 50% in 2017 due to strong competition in a rapidly expanding ALD market from Tokyo Electron, Lam Research, Kokusai, The Korean OEMs (Jusung Engineering, Wonik IPS and Eugene Technology) and also to some extent by Applied Materials.

According to the latest estimate based on Gartner research (released April 18, 2018), Tokyo Electron as of 2017 holds a 31% total market share of ALD wafer based processing equipment. That should include all wafer based ALD platforms, however some companies hide their ALD revenue in the CVD segments so you can not know for sure if you don´t know the data in detail. The segments are:
  • ALD Tube - Large batch furnaces, typically loading 100 or more wafers
  • Single wafer platforms
  • Multi wafer platforms, spatial or multi station

TEL Market share for 2017, Based on Gartner research (TEL Q1/2018 Earnings call slide deck) 

One explanation why Tokyo Electron has taken market share in ALD is because of a lot of the recent investments coming from DRAM and 3DNAND Fabs and not Logic Fabs (see below). Traditionally Tokyo Electron has been much stronger in Memory than ASM International. Here the Japanese have very attractive tools for commodity product manufacturing (DRAM and Flash memory chips) like their ALD Large Batch Furnaces and relatively new and successful NT333 Spatial ALD platforms.
TEL sales their FY 2016 to 2018 by segment (TEL Q1/2018 Earnings call slide deck) 

Also interesting is that Tokyo Electron presents a rather bright future with growth not only in DRAM and 3DNAND but also in Logic due to 10/7nm investments from the IDMs and Foundries.


Tuesday, May 1, 2018

Advanced Energy: Advanced Energy grows revenue more than 30 percent year over year

FORT COLLINS - Advanced Energy Industries Inc. (Nasdaq: AEIS) posted first-quarter earnings of $1.16 per share, or $46.4 million. The earnings are a significant improvement over the same period the year prior when Advanced Energy had a $29 million loss, or 73 cent loss per share. The Fort Collins-based company, which makes power technologies and solutions, posted quarterly revenue of $195.6 million, a 31 percent year-over-year increase and beating expectations by $7.7 million.

Advanced Energy has a critical position in the value chain for the leading edge Logic and Memory devices that we find in our day to day life electronic gadgets. (from IR Presentation – Winter 2018)
"Carrying on the momentum seen in 2017, first quarter semiconductor revenue again reached record levels while our Industrial business soared to new historical highs due to the rapid adoption of our advanced technology and expanding geographical presence," said Yuval Wasserman, president and CEO of Advanced Energy, in a prepared statement. "Our diversification strategy is working - producing strong results - as we continue to execute on our strategic plan towards our aspirational goals. We believe our strong balance sheet provides the flexibility required to acquire new products and technologies and return value to shareholders." 
The company's financial statements added that it has discontinued its inverter business, and is continuing operations in the precision power business. Looking ahead, Advanced Energy expects second-quarter earnings per share to be between $1.23 and $1.33. Revenue is expected to be between $193 million and $207 million. The post Advanced Energy grows revenue more than 30 percent year over year appeared first on BizWest.
Source: Boulder County Business Report LINK

Advanced Energy News release: LINK