Saturday, December 29, 2018

Huawei Kirin 990 to be the first prodcut to use TSMC 7nm EUV process

Huawei has become the second-largest client of TSMC and can be the first company adopting the pure-play foundry’s N7+ extreme ultraviolet (EUV) process node manufacturing, likely via the Kirin 990. 
 
Source: Kirin 990 To Be the First Customer to Use a TSMC Process Using EUV LINK
 
-------------
By Abhishekkumar Thakur 


 

Tuesday, December 25, 2018

Intel to get 700 million shekel grant for Israel expansion

Israel will give Intel Corp a 700 million shekel ($185 million) grant in return for a planned $5 billion expansion of its production operations in Israel.

Source: Reuters http://feeds.reuters.com/~r/reuters/businessNews/~3/yEH28mfWrVw/intel-to-get-700-million-shekel-grant-for-israel-expansion-idUSKCN1OO0JD

Monday, December 24, 2018

TRUMPF acquiring VCSEL laser diode maker Philips Photonics

 
TRUMPF GmbH of Ditzingen, near Stuttgart, Germany is acquiring Philips Photonics GmbH of Ulm, Germany, a wholly owned business of Royal Philips of Eindhoven, The Netherlands that provides vertical-cavity surface-emitting laser (VCSEL) technology for datacom, consumer and industrial applications.
 
Established in 2000 as ULM Photonics GmbH and acquired by Philips in 2006, Philips Photonics in November announced its third production capacity expansion in the last three years (to be completed within the next 18 months).

With about 13,400 employees, TRUMPF makes machine tools, laser technology and electronics for industrial manufacturing. The firm says that the acquisition opens up a new market segment for it in addition to its existing business with high-power diode lasers as well as expanding its product portfolio. Employing about 280 people, laser diodes from Philips Photonics are used in, for example, smartphones, digital data transmission, and sensors for autonomous driving. As well as its manufacturing facility in Ulm, Philips Photonics also has sites in Aachen, Germany, Eindhoven in The Netherlands, and sales offices in Shenzhen, Shanghai and Qingdao in China.

“We want to open up new product fields and expand our existing portfolio at a strategically important point,” says TRUMPF’s CEO Nicola Leibinger-Kammüller. Through the acquisition, the firm should expand its access to fast-growing markets in the photonics and digital products sectors.

“Philips Photonics employs a large number of very good developers who have opened up new areas of photonics and who will strengthen our research and development area in the long term,” comments chief financial officer Lars Grünert, member of the group’s management board responsible for the new TRUMPF product sector. “Together, we want to further develop the Photonics division.” In its past fiscal year 2017/18, TRUMPF has invested €337m in R&D (a development ratio of 9.5%).

“Since our foundation in 2000, we have grown strongly. More than half a billion cell phones worldwide are equipped with laser diode technology from Philips Photonics,” notes Philips Photonics business leader Joseph Pankert. Becoming part of TRUMPF “will ensure that the division can continue to grow in a highly innovative company in the future,” he adds.

The acquisition is expected to be completed in second-quarter 2019. Authorities still have to approve the acquisition.

Thursday, December 20, 2018

IBM announcagreement with Samsung to manufacture 7nm microprocessors for IBM

Following Globalfoundries desission to stop at 14nm, IBM today announced an agreement with Samsung to manufacture 7nm microprocessors for IBM Power Systems™, IBM Z™ and LinuxONE™, high-performance computing (HPC) systems, and cloud offerings.The agreement combines Samsung's industry-leading semiconductor manufacturing with IBM's high-performance CPU designs. 
 
 
Source : Yahoo Finance LINK
 
------------------------------------
by Abhishekkumar Thakur

Chinese AMEC 5nm plasma etching tools verified by TSMC

DigiTimes report (LINK) that the Chinese OEM Advanced Micro-Fabrication Equipment (AMEC) announced recently its in-house developed 5nm plasma etching tools have been verified by Taiwan Semiconductor Manufacturing Company (TSMC). AMEC is already among TSMC's equipment suppliers for the foundry's 28nm, 10nm and 7nm processes.

Earlier in 2018 AMEC Introduced the Primo Nanova® System, which is the Company's first ICP etch Product for Chipmakers' most advanced memory and logic (LINK). Besides ICP AMEC has products based on CCP etch and platforms for TSV Etch (LINK).
 
 AMEC Introduced the Primo Nanova® System (AMEC)

Advanced Micro-Fabrication Equipment Inc. (AMEC)
AMEC is China's leading provider of advanced process technology to global manufacturers of semiconductors and solid-state lighting (SSL) products. Headquartered in Shanghai, the company is an entrenched supplier of dielectric and TSV Etch tools, helping chipmakers build devices at process nodes as low as 7nm. To date, nearly 800 AMEC process units have been positioned at 40 leading-edge semiconductor fabs across Asia. The company is also well established in Europe with AMEC MEMS tools running in production at major IDMs. In addition, with its MOCVD system, the company helps SSL manufacturers build today's most advanced LED products. To learn more about AMEC, please visit www.amec-inc.com.

TSMC’s USD19 billion 3 nm Fab will start production in 2020

TSMC's 3 nm wafer fab: In August, TSMC announced investment of $19 billion to build a 3 nm wafer fab. Today, according to the Taiwanese ‘Economic Daily’ report, TSMC’s 3-nanometer factory passed the environmental assessment. According to the original scheduling, the world’s first 3-nanometer plant is expected to start construction in 2020 and complete equipment installation in 2021. Moreover, it’s expected to be put into operation as early as the end of 2022 and early 2023. At present, the 3nm wafer factory in Tainan Park is estimated to cover an area of 28 hectares. It is located close to TSMC’s 5nm factory.

Source: TSMC’s 3nm Plant Will Start Production in 2020 LINK



----

Written by Abhishekkumar Thakur and Jonas Sundqvist

Wednesday, December 19, 2018

The semi equipment market will contract 2019 but grow 20.7 percent to reach an all-time high 2020

TOKYO – December 12, 2018 – Releasing its Year-End Total Equipment Forecast at the annual SEMICON Japan exposition, SEMI, the global industry association representing the electronics manufacturing supply chain, today reported that worldwide sales of new semiconductor manufacturing equipment are projected to increase 9.7 percent to $62.1 billion in 2018, exceeding the historic high of $56.6 billion set last year. The equipment market is expected to contract 4.0 percent in 2019 but grow 20.7 percent to reach $71.9 billion, an all-time high. [Source: SEMI LINK

 
For 2019, SEMI forecasts that South Korea, China, and Taiwan will remain the top three markets, with all three regions maintaining their relative rankings. Equipment sales in South Korea is forecast to reach $13.2 billion, in China $12.5 billion, and in Taiwan $11.81 billion. Japan, Taiwan and North America are the only regions expected to experience growth next year. The growth picture is much more optimistic in 2020, with all regional markets expected to increase in 2020, with the market increasing the most in Korea, followed by China, and Rest of World [Source: SEMI LINK]

After a period of record growth in 2017-18, the semiconductor equipment industry is expected to face a slowdown in 2019. Logic is strong but memory is weak, and the trade issues between the United States and China are a cause for concern. According to a report in Semiengineering (LINK), heading into 2019, there is a shortfall of 200 mm equipment. The industry requires from 2,000-3,000 new or refurbished 200 mm tools to meet fab demand, according to SurplusGlobal. But there are only 500 available 200 mm tools on the market, according to the company. 200 mm tool prices will remain high. 300 mm tool prices are lower than 200 mm tool prices these days
 
For the ALD OEM market the situation is therefore heating up even though the. Currently the top 300 mm ALD equipment companies (ASM, TEL, Lam, Jusung, Wonik IPS, Applied Materials) does not actively support the market with pure play 200 mm products except for Large Batch Furnaces. So if you want a 200 mm single wafer ALD tool today you can buy a 300 mm tool and equip it with 200 mm handling or go to one of the smaller companies like Picosun, Veeco, Beneq, Oxford Instruments, which all have 200 mm ALD cluster products on the market today.
 
 
From presentation "ALD/CVD applications, equipment and precursors in high volume manufacturing" at SEMICON Europa 2018, available on SlideShare LINK.
----
Written by Abhishekkumar Thakur and Jonas Sundqvist
 
 
 

Wednesday, December 12, 2018

UNSW and Leadmicro announce a joint initiative to develop next generation high-efficiency solar cells

[Leadmicro News] The University of New-South Wales (UNSW) in Australia, and Jiangsu Leadmicro Nano-Equipment Technology Ltd. (LEADMICRO), a China-based global manufacturer of advanced thin film deposition and etch equipment, have announced a partnership to develop the next generation high-efficiency solar cells based on novel Atomic Layer Deposition (ALD) technology within the frame work of an Arena Project entitled “Advanced high-efficiency silicon solar cells employing innovative atomic scale engineered surface and contact passivation layers”. Mr Warwick Dawson, Director of Knowledge Exchange, Prof. Mark Hoffman, Dean of Faculty of Engineering, Prof. A/Prof Bram Hoex of School of Photovoltaic and Renewable Energy Engineering, as well as Mr. Yangqin Wang, Chairman of the LEAD Group and Dr. Wei-Min Li, CTO of LEADMICRO witnessed the signing ceremony.


Left to right: Research Fellow, Ouyang Zi; Chairman of Wuxi Lead Intelligent Equipment Co. Ltd., Mr. Yanqing Wang; CTO of Jiangsu Leadmicro Nano-Equipment Technology Ltd., Dr Wei-Min LI; Director Knowledge Exchange at UNSW, Warwick Dawson; Dean of Engineering at UNSW, Professor Mark Hoffman; Associate Professor Bram Hoex.

The photovoltaic industry is currently amid the transfer to the technologically superior PERC technology which was developed at UNSW in the late 1980s. According to A/Prof Bram Hoex, who leads the project at UNSW, “A major part of the advantages of the PERC solar cell compared to the incumbent technology is due to the application of ultrathin films which reduce the electronic losses at the non-contacted areas at the rear of the silicon solar cell. It is generally accepted that the next technological node will use so called “passivating contacts” which simultaneously allows for low electronic and resistive losses. These passivating contacts typically consist of a combination of ultrathin films, thus we see that nanoscale thin films will play an increasingly important role in solar cells. ALD allows controlling the growth of thin films at the atomic level and therefore is ideally suited for making these contacts.” In this project, Leadmicro will donate a pilot-scale ALD reactor to UNSW which will be housed at its Solar Industrial Research Facility (SIRF) at UNSW’s Kensington campus. “The fact that we will have a high-throughput reactor available on campus will allow us to very quickly transfer the processes we develop at the lab-scale tools and test their performance at the solar cell device level, so the technology is ready for Leadmicro’s clients to use in high-volume manufacturing” says A/Prof Hoex.

Saturday, December 8, 2018

TSMC to build new 8-inch fab capacity

Taiwan Semiconductor Manufacturing Company (TSMC) has disclosed plans to build a new 8-inch wafer fab at its manufacturing site in Tainan, southern Taiwan to satisfy robust customer demand.

Taiwan Semiconductor Manufacturing Company (TSMC) has disclosed plans to build a new 8-inch wafer fab at its manufacturing site in Tainan, southern Taiwan to satisfy robust customer demand. TSMC's last 8-inch fab was established about 15 years ago.

Plans to add a new 8-inch fabrication facility is to meet growing customer demand for specialty process technologies, according to TSMC CEO CC Wei.

Source: DIGITIMES LINK
 
List of TSMC Fabs: LINK




Thursday, December 6, 2018

Qualcomm reveals Snapdragon 8cx, an Intel-class 7-nanometer laptop chip

Qualcomm says that the Snapdragon 8cx will maintain a "connected standby" status that leads to "instant on" connectivity, yet excellent battery life.

Source: VentureBeat LINK
This is then the world’s first 7-nanometer chip for PCs but please have in mind that Intel 10 nm corresponds to Qualcomm 7 nm that is TSMC 7 nm process. Such a 7 nm Snapdragon 8cx wafer has actually been spotted by Anandtech (LINK).

Friday, November 30, 2018

Gooch & Housego Installs Veeco’s IBS System for Advanced Optical Coating Capabilities


PLAINVIEW, New York—Nov. 29, 2018—Veeco Instruments Inc. and Gooch & Housego (G&H), the world’s leading supplier of high quality superpolished optical components today announced the successful installation of Veeco’s SPECTOR® Ion Beam Sputtering (IBS) Optical Coating System at G&H’s Moorpark, Calif. facility. The new capability provided by SPECTOR supports G&H’s expanding portfolio of high-quality optics for ultraviolet, visible and infrared systems used in telecommunications, aerospace and defense, life science and industrial applications.

SPECTOR offers exceptional layer thickness control, enhanced process stability and the lowest published optical losses in the industry, and has become the IBS system of choice for over 200 advanced manufacturing settings worldwide. G&H will use this system to support its expanding portfolio of high-quality optics for UV, visible and infrared systems used across telecommunications, aerospace and defense, life science and industrial applications. 
 
“G&H is at the forefront of engineering a broad range of photonics technologies, leveraging optical coatings to advance crystal growth, electro-optics and fiber optics in next-generation applications,” said Adam Morrow, product line manager at G&H. “As we navigate the increasingly complex specifications required for these processes, we’ve turned to Veeco as a partner that can uphold our long-standing pedigree of high-quality optics.”

G&H’s growing presence in the laser optics landscape builds on the company’s tenured history as a supplier of high-quality photonics components. Complementing G&H’s superpolished surfaces, Veeco offers IBS coatings that achieve very low levels of total loss while maintaining surface roughness quality, density and exceptional environmental stability.


The SPECTOR IBS platform offers exceptional layer thickness control, enhanced process stability, and the lowest published optical losses in the industry. The system is engineered to improve key production parameters, such as target material utilization, optical endpoint control, and process time for cutting-edge optical coating applications. The SPECTOR platform, which is the preferred IBS system in the industry, has been installed in more than 200 advanced manufacturing settings across the world.


“Veeco’s IBS systems allow our customers to achieve the highest precision and thin film process flexibility necessary for successful advanced photonics and next-generation high-growth applications,” added Adrian Devasahayam, Ph.D., vice president and general manager of Veeco’s Advanced Deposition and Etch (AD&E) business. “We’re confident in the SPECTOR system’s ability to provide G&H with the qualitative advantages of IBS technology, including low scatter loss, high film purity, stable deposition rates and film thickness control of less than 0.1 nm in a robust package that significantly boosts throughput and lowers cost of ownership for customers.”


According to BCC Research, the global market for optical coatings is expected to reach $14.2 billion in 2021, up from $9.5 billion in 2016. Key drivers of this growth are emerging applications in commercial and consumer categories, as well as innovative coatings to improve a broad range of existing applications.

GLOBALFOUNDRIES announces industry’s first 300 mm SiGe foundry technology

GLOBALFOUNDRIES Announces Industry’s First 300mm SiGe Foundry Technology to Meet Growing Data Center and High-Speed Wireless Demands

Santa Clara, Calif., November 29, 2018 – GLOBALFOUNDRIES today announced its advanced silicon germanium (SiGe) offering, 9HP, is now available for prototyping on the company’s 300mm wafer manufacturing platform. The move signifies the strong growth in data center and high-speed wired/wireless applications that can leverage the scale advantages of a 300mm manufacturing footprint. By tapping into GF’s 300mm manufacturing expertise, clients can take advantage of increased production efficiency and reproducibility for high-speed applications such as optical networks, 5G millimeter-wave wireless communications and automotive radar.


Globalfoundries Fab 10 in East Fishkill, NY
 
GF is the industry leader in the manufacturing of high-performance SiGe solutions on its 200mm production line in Burlington, Vermont. The migration of 9HP, a 90nm SiGe process, to 300mm wafers manufactured at GF’s Fab 10 facility in East Fishkill, N.Y., continues this leadership and establishes a 300mm foothold for further roadmap development, ensuring continued technology performance enhancements and scaling.
“The increasing complexity and performance demands of high-bandwidth communication systems have created the need for higher performance silicon solutions,” said Christine Dunbar, vice president of RF business unit at GF. “GF’s 9HP is specifically designed to provide outstanding performance, and in 300mm manufacturing will support our client’s requirements for high-speed wired and wireless components that will shape future data communications.”

GF’s 9HP extends a rich history of high-performance SiGe BiCMOS technologies designed to support the massive growth in extremely high data rates at microwave and millimeter-wave frequencies for the next generation of wireless networks and communications infrastructure, such as terabit-level optical networks, 5G mmWave and satellite communications (SATCOM) and instrumentation and defense systems. The technology offers superior low-current/high-frequency performance with improved heterojunction bipolar transistor (HBT) performance and up to a 35 percent increase in maximum oscillation frequency (Fmax) to 370GHz compared to its predecessors, SiGe 8XP and 8HP.

Client prototyping of 9HP on 300mm at Fab 10 in East Fishkill, N.Y. on multi-project wafers (MPWs) is underway now, with qualified process and design kits scheduled in 2Q 2019.

For more information on GF’s SiGe solutions, contact your GLOBALFOUNDRIES sales representative or visit globalfoundries.com.

Tuesday, November 27, 2018

Globalfoundries Fab 11 will ramp straight on to 22nm FD-SOI process for Chinese customers

[Andantech] Fab 11 was/is built as a partnership between GlobalFoundries and the Chengdu municipality. The original plan for the Chengdu fab included two phases with an aggregated capacity of approximately 1,000,000 wafers per year when both operate at full capacity. The first phase was to start operations in 2018 and process around 20,000 wafers per month using mainstream 180/130 nm fabrication technologies originally developed by Chartered for various general-purpose chips. The second phase was to begin operations in 2019, use GlobalFoundries’ 22FDX process and gradually expand its capacity to 65,000 wafers per month. At the same time, one of the terms of the agreement between GF and the Chengdu municipality was to develop an FD-SOI ecosystem locally.
 
 
Based on various reports, GlobalFoundries has failed to land orders for chips to be made using bulk 180/130 nm fabrication processes. As a result, the company will not equip its Fab 11 for those processes, but instead will jump straight to 22FDX. So far, GlobalFoundries has landed orders from six customers in China for 22FDX chips and these clients are currently ramping up their wafers at Fab 1 in Dresden, Germany.

“Given GlobalFoundries' renewed focus on differentiated offerings and discussions with potential clients, we have decided to bypass the original phase-one investment in mainstream process technology,” a GlobalFoundries executive said in an interview with DigiTimes. “In order to meet demand from China for differentiated offerings including our 22FDX technology, we have to revise the original timeline in order to better align capacity.”
 
Source: Andantech LINK


Monday, November 26, 2018

Applied Materials is getting closer to losing its dominance in the Semiconductor Equipment market

According to Seeking Alpha, Applied Materials is getting closer to losing its dominance in the Semiconductor Equipment market
  • Applied Materials recently reported semiconductor equipment revenue growth dropped 16.0% compared to the previous quarter due largely to pushouts in equipment by memory customers.
  • Applied Materials has been losing market share to competitor Tokyo Electron since 2017 and is close to losing its long-held title of semiconductor equipment leader.
  • 60% of Applied Materials revenues was due to purchased by memory companies, but DRAM and NAND capex spend is projected to drop in 2018 and 2019.
 
 
Source: Seekeing Alpha LINK

Friday, November 23, 2018

Top-3 memory chipmakers combined DRAM revenues hit record high in 3Q/201818

The world's top-3 memory IC vendors - Samsung Electronics, SK Hynix and Micron Technology - saw their combined DRAM and flash memory revenues climb to a record high of US$37.3 billion in the third quarter of 2018.



The combined revenues for third-quarter 2018 represented increases of 8% sequentially and 36% on year, thanks mainly to demand for servers and smartphones that come with higher density memory specs.

DRAM chip sales accounted for as high as 71% of the combined memory chip revenues generated by the top-3 vendors in the third quarter of 2018, Digitimes Research indicated. The top-3 memory chip vendors saw their combined DRAM memory sales reach US$26.4 billion in the third quarter, up 10% sequentially.


Source: DIGITIMES LINK

Thursday, November 22, 2018

Picosun Group reports significant increase in turnover and profitability


 


ESPOO, Finland, 23rd November 2018 – Picosun Group, a leading provider of advanced ALD (Atomic Layer Deposition) thin film coating technology for global industries, reports 37 % rise in turnover to 25.96 million euros during its previous fiscal year, which ended 30th September 2018. 

 
At the same time, the company increased its profitability. EBIT grew to 1.42 million euros which equals 5.5 % of turnover, and EBITDA to 2.39 million euros which is 9.2 % of turnover. The numbers are still unconfirmed.

Picosun’s personnel grew one third to 86 people. Almost 25 % of the personnel have either Ph.D. or D.Sc. degree.

”We are very pleased with the numbers of the previous fiscal year. What also makes us happy is the fact that we were able to increase important investments that support development of our company. Agility and unmatched ALD expertise are our core strengths which we will never compromise,” says Mr. Kustaa Poutiainen, Chairman of the Board and CEO of Picosun Group.

Last year, Picosun invested 4.4 million euros to research and development. This is 17 % of the company’s turnover.

For the ongoing fiscal year Picosun has budgeted 33.3 million euros turnover, which means 28 % growth. The company is also expecting further improvement in profitability, and it is planning to increase its R&D investments to 5.7 million euros.

Picosun’s personnel is expected to grow at the same rate as during the previous fiscal year. Healthcare business will be one of the key factors to boost Picosun’s growth.

”Our PicoMEDICAL™ solutions, specifically targeted to the healthcare industries, have raised a lot of interest amongst our customers. ALD will revolutionize advanced health technologies, just like it did to microelectronics industries more than ten years ago. As the leading AGILE ALD™ solutions provider, we are the pioneers in this field,” continues Poutiainen.

The company has strengthened its global Service and Support operations by hiring lots of new personnel, and by establishing a specific Customer Experience unit. Also Picosun’s China operations have undergone restructuring, and they shall be significantly reinforced during the ongoing fiscal year.

Picosun provides the most advanced ALD thin film coating technology to enable the industrial leap into the future, with turn-key production solutions and unmatched expertise in the field. Today, PICOSUN™ ALD equipment are in daily manufacturing use in numerous major industries around the world. Picosun is based in Finland, with subsidiaries in Europe, North America, Singapore, Taiwan, China, and Japan, and a world-wide sales and support network. Visit www.picosun.com.

Wednesday, November 21, 2018

China's InnoScience orders Aixtron AIX G5+ C MOCVD systems for manufacturing 650V GaN-on-Si devices

 
Deposition equipment maker Aixtron SE of Herzogenrath, near Aachen, Germany is to deliver multiple AIX G5+ C MOCVD systems to China's InnoScience Technology Co Ltd for the development of gallium nitride (GaN) power devices, which are increasingly favored over silicon power devices due to their superior performance at high frequency. All Aixtron cluster tools will feature a 5x200mm-wafer configuration and will be shipped by second-quarter 2019.
 
Source: Semiconductor Today LINK

Monday, November 19, 2018

TSMC to supply IBM with data center chips challenging Intel's dominance


Taiwan Semiconductor Manufacturing Company (TSMC) is set to sign a deal with IBM to produce mainframe server chips. As a big player in the global data center market, a contract to supply IBM could propel TSMC into a position to contend with Intel which currently owns the market.

The business machine giant designs its own server chips, which are presently produced by GlobalFoundries, so the change to a new supplier is a big blow for the rival manufacturer. According to sources with Nikkei, IBM looks to move to a smaller process for its next generation of mainframe servers, and Taiwan-based TSMC has the only 7nm technology available.

Source: TechSpot (LINK)

Friday, November 16, 2018

New Applied Materials META Center at SUNY Albany

SANTA CLARA, Calif., Nov. 15, 2018 (GLOBE NEWSWIRE) -- Applied Materials, Inc. today announced plans for the Materials Engineering Technology Accelerator (META Center), a major expansion of the company’s R&D capabilities aimed at creating new ways for Applied and its customers to drive innovation as classic Moore’s Law scaling becomes more challenging. 

Applied Materials engineers work on the latest technology to help enable faster, more efficient microchips (Applied Materials, Inc.)
The primary goal of the META Center is to speed customer availability of new chipmaking materials and process technologies that enable breakthroughs in semiconductor performance, power and cost. The new center will complement and extend the capabilities of Applied’s Maydan Technology Center in Silicon Valley.

The META Center will be a hub for innovation, delivering on a call to action by Applied CEO Gary Dickerson for increased collaboration and speed across the technology ecosystem.

“Realizing the full potential of Artificial Intelligence and Big Data will require significant improvements in performance, power consumption and cost both at the edge and in the cloud,” said Gary Dickerson, president and CEO of Applied Materials. “The industry needs new computing architectures and chips enabled by innovative materials and scaling approaches. The META Center creates a new platform for working with customers to accelerate innovation from materials to systems.” 

Albany Campus (SUNY Albany)
Scheduled to open in 2019, the META Center will be a first-of-its kind facility, spanning 24,000 square feet of cleanroom. It will be furnished with a broad suite of Applied’s most advanced process systems along with complementary technologies needed for new chip materials and structures to be piloted for high-volume production at customer sites.

To be located at the State University of New York Polytechnic Institute (SUNY Poly) campus in Albany, New York, the META Center will be created under agreements to be entered into with New York State, The Research Foundation for The State University of New York and SUNY Poly, that have been approved by the Empire State Development Board of Directors and are subject to further approval by The New York State Public Authorities Control Board.


“SUNY Poly provides an ideal combination of infrastructure, capabilities and talent in a thriving academic and entrepreneurial setting with deep roots in the semiconductor industry,” said Steve Ghanayem, senior vice president of New Markets and Alliances at Applied Materials. “The technology ecosystem will benefit from the acceleration of materials innovation through collaboration at the META Center.”