Tuesday, September 26, 2017

AIXTRON provides novel deposition system to EPFL for 2D materials research

Leading Swiss university focuses on the development of next-generation semiconductors based on AIXTRON BM system

 
AIXTRON SE (FSE: AIXA), a worldwide leading provider of deposition equipment to the semiconductor industry, today announced that the École Polytechnique Fédérale de Lausanne (EPFL) in Lausanne (Switzerland) has purchased a BM NOVO system. This versatile tool which can produce virtually all variations of 2-dimensional materials (2D) required for emerging optoelectronic applications is dedicated to support the University’s research projects coordinated by Prof. Andras Kis and Prof. Aleksandra Radenovic.

AIXTRON’s BM NOVO system uses a unique combination of plasma-enhanced chemical vapor deposition (PECVD) technology and metal organic chemical vapor deposition (MOCVD) technology to enable the growth of high quality 2D materials such as transition metal dichalcogenides (TMDCs) e.g. molybdenum disulfide (MoS2) or tungsten diselenide (WSe2).

Source: Aixtron LINK

Picosun ships ALD tools to the metal coating industry

ESPOO, Finland, 27th September, 2017 – Picosun Oy, leading supplier of advanced Atomic Layer Deposition (ALD) thin film coating technology, reports of a new breakthrough and repeat sales into metal industries. Picosun’s large scale production ALD systems have been chosen for high volume manufacturing of functional coatings on steel products at various customer locations around the world.

 
The PICOSUN™ P-1000 ALD system is designed for batch coating of various 3D objects such as mechanical parts, glass or metal sheets, coins, jewelry, or medical implants.

Picosun has developed comprehensive ALD solutions for metal surface treatment already for years with its customers and collaboration partners, gaining unrivalled process and design know-how on the topic. With the production-optimized PICOSUN™ ALD equipment, large batches of three-dimensional items can be processed with highly uniform, dense and conformal coating quality down to every microscopic surface detail. Various industries have already selected Picosun’s ALD systems to manufacture e.g. bioactive coatings on metal-based medical implants, and anti-tarnish films to protect coins from discoloration.

The 6th ALD Lab Saxony Symposium at the SEMICON Europe 2017 in Munich, Germany

Please be invited to participate in the annual ALD Lab Saxony Symposium at SEMICON Europa on the 14th of November (10:00 to 15:00) in Munich Germany. The event is free of charge for all visitors to SEMICON Europa and we are very thankful for the sponsoring from Picosun Oy (Platiunum). TECHCET LLC (Gold) and Pegasus Chemicals (Silver).

Confirmed Presentations:

ALD Labs:
  • „Fabrication of 3D microstructures from micron-sized powder using ALD and possible applications for MEMS”, Dr. Thomas Lisec, Fraunhofer ISIT (Germany)
  • „Passivation, Capacitors or 3D-Structures – Outline the Possibilities of ALD”, Dr. Dorothee Dietz, Fraunhofer IMS (Germany)
  • „ALD equipment and precursors for high volume manufacturing”, Dr. Jonas Sundqvist, Fraunhofer IKTS (Germany) 
  • „In-situ metrology for ALD“ Dr. Martin Knaut, IHM TU Dresden (Germany)
  • „ALD process optimization using computational fluid dynamics” Linda Jäckel, Fraunhofer ENAS (Germany)
Industry:
  • „ALD for Production“, Dr. Christoph Hossbach, Picosun Oy (Finland)
  • „Electronics: a key market for Umicore - a key partner for the market” Oliver Briel, Umicore (Germany)
  • „Supply chain of critical materials fro the semiconductor industry”, Dr. Diane Scott, TECHCET LLC (USA)
  • „Precursor delivery systems for ALD & CVD”, Daniel Schlamm, SEMPA Systems GmbH (Germany)



Monday, September 25, 2017

Veeco ships new-generation EPIK 868 MOCVD systems to China for high-volume LED production

Epitaxial deposition and process equipment maker Veeco Instruments Inc of Plainview, NY, USA has shipped multiple TurboDisc EPIK 868 gallium nitride (GaN) metal-organic chemical vapor deposition (MOCVD) systems to several leading Chinese LED makers for the production of light emitting diodes for solid-state lighting applications.


Compared with previous generations, the EPIK 868 MOCVD system enables cost per wafer savings of more than 20%, with a combined advantage of best operating uptime, low maintenance costs and what is claimed to be best-in-class wafer uniformity, as well as a compact architecture with the best footprint efficiency for high-volume LED production.
 
Source: Semiconductor Today LINK

Friday, September 22, 2017

Globalfoundries to roll out 12nm FinFET technology for high-performance devices

Globalfoundries has announced plans to introduce a new 12nm leading-performance (12LP) FinFET semiconductor manufacturing process. The technology is expected to deliver better density and a performance boost over Globalfoundries' current-generation 14nm FinFET offering, satisfying the processing needs of the most demanding compute-intensive applications from artificial intelligence and virtual reality to high-end smartphones and networking infrastructure.
 
Source: DIGITIMES LINK



Accordig to a press release by Global foundries besides transistor-level enhancements, the 12LP platform will include new market-focused features specifically designed for automotive electronics and RF/analog applications—two of the fastest-growing segments in the industry.
  • Emerging automotive applications in vehicle safety and automated driving require a combination of processing power and extreme reliability. The 12LP platform delivers both, with plans for Automotive Grade 2 qualification at Fab 8 by Q4 2017.
  • A new RF offering extends the 12LP platform for RF/analog applications such as premium-tier transceivers in sub-6GHz wireless networks. 12LP offers the best scaling in both logic and memory for RF chip architectures with primarily digital and less RF/analog content.

Thursday, September 21, 2017

Toshiba confirmed it has agreed to sell its flash-memory chip business for $18 billion

Japanese technology giant Toshiba Corp. confirmed Wednesday morning it has agreed to sell its flash-memory chip business for $18 billion to a consortium of bidders that includes Apple, Dell and Seagate.

Full Stories:
Reuters
Asia Nikkei

Wednesday, September 20, 2017

Swedish-American glō Orders G5+ MOCVD System for Micro-LED Production

This summer Google announced that it has invested 15 million USD for a 13 percent stake in Glo, a Swedish Lund University spin-out focused on creating nanowire-based LED-displays for mobile phones and smart watches as well as AR- and VR-applications (LINK). 

 
Now Aixtron Reports: AIXTRON SE (FSE: AIXA), a worldwide leading provider of deposition equipment to the semiconductor industry, announced today that it has received an order for an AIX G5+ platform from Swedish-American company glō-USA, Inc. The group focuses on the commercialization of micro-LED (mLED) products based on their proprietary defect-free GaN nanowires technology. Such 3D structures enable the growth of mLEDs while maintaining the reliability of an inorganic material system. AIXTRON’s AIX G5+ Planetary Reactor® system was selected in the scope of glō’s strategic expansion and will be delivered with an 8x150 mm configuration in the course of Q4/2017.

Monday, September 18, 2017

UK based IQE Extends Production with Multiple AIX 2800G4-TM Systems from AIXTRON

As sreported by LED Inside: AIXTRON SE, a worldwide provider of deposition equipment to the semiconductor industry, received a multiple MOCVD system order from IQE plc, the British supplier of advanced wafer products and wafer services to the semiconductor industry. The AIX 2800G4-TM automated Planetary reactors® expected to be deployed for the growth of gallium arsenide-based (GaAs) epi-wafers on 6-inch substrates for the production of VCSEL (vertical-cavity surface-emitting laser) for a range of photonic applications. 

Image from Aixtron.com

Thursday, September 14, 2017

ALD Nano today announced Wayne Simmons as CEO

ALD Nano today announced that its Board of Directors has appointed Wayne Simmons as Chief Executive Officer effective September 2017. Dr. Simmons was also elected to the Board of Directors. He will replace Founding CEO, Mike Masterson, who will assume the role of Executive Chairman with an added advisory role for key markets and will continue to serve as Chairman of ALD Nano's Board. The company has also announced that Joe Spencer was elevated to Vice President of Engineering and that its employee base continues to expand with new hires in areas including engineering, science and business development.
“This is the right time for Wayne Simmons to become ALD Nano's next CEO. We've selected a successful leader at a time when ALD Nano’s global leadership in ALD on particles is growing in existing and new markets ,” said ALD Nano Chairman Mike Masterson. “I joined ALD Nano because I wanted to be a part of a company that is solving important challenges for advanced materials that are transforming industries," said Wayne Simmons. “This company has built over a decade of ALD expertise with all of its business and scientific founders still active. Together, additional expertise has been added over the years that creates a wealth of institutional ALD knowledge to deploy into commercial markets."
Full story and Source: ALD Nanosulution Newsletter LINK

Wednesday, September 13, 2017

Dow and DuPont announces successful completion of merger

The Dow Chemical Company and E.I. du Pont de Nemours & Company (DuPont) report that they have successfully completed their merger. The combined entity is now operating as a holding company under the name DowDuPont with three divisions - agriculture, materials science and specialty products, trading on the New York Stock Exchange under the stock ticker symbol DWDP.'Today marks a significant milestone in the storied histories of our two companies,' said Andrew Liveris, executive chairman of DowDuPont. 

Source: Materials Today LINK


NCD supplied Full Automation for Lucida GS Series to Tongwei Solar

NCD has recently supplied Full Automation System to Chinese Tongwei Solar for solar cell manufacturing ALD equipment. This is Full Automation System including pitch changer to supply wafers automatically to Lucida GS ALD Series which had already installed in the customer site for high quality Al2O3 thin film deposition. The connection of ALD and Full Automation System to handle wafers automatically will make faster and more convenient ALD process.

In the future, the sales of Full Automation System along with ALD equipment will be increasing because most customers will need more productivity and more efficiency of work. 
Lucida™ GS Series + Automation

Tuesday, September 12, 2017

Entegris Expands Its Taiwan Technology Center To Add New Microcontamination Analysis And Technology Development Capacity

BILLERICA, Mass., Sept. 12, 2017 /PRNewswire/ -- Entegris Inc. (NASDAQ: ENTG), a leading specialty materials provider, today announced the expansion of its Taiwan Technology Center for Research and Development (TTC) in Hsinchu, Taiwan. The expansion adds a new Microcontamination Control Lab (MCL) that focuses on filtration media development and is home to the company's relocated Asia Applications and Development Labs (AADL) for trace metal, organic contaminant, and nanoparticle analysis. This addition to the Center's existing R&D, formulation scale-up, and pilot production capabilities also creates a single, off-site collaboration location for our customers' specialty chemical, CMP and liquid filtration needs.
 
 
Key facts for the $8.5 million USD investment:
  • Class 1000 cleanroom
  • 5x increase in lab space
  • Facility renovations and equipment upgrades
"Interactions and dependencies between process materials and equipment are at a critical evolution point as device scaling continues to be a leading driver for efficient construction of today's devices. Bringing the industry's brightest minds together in a state-of-the-art facility enhances Entegris' unique ability to meet these needs," offered Entegris Chief Operations Officer, Todd Edlund. "By expanding the MCL facility, we bring together core-competencies in liquid filtration, specialty chemicals, and CMP to create more holistic analytical services and technology development solutions designed to meet our customer's Logic, DRAM, and 3D NAND device manufacturing challenges."

Friday, September 8, 2017

Entegris & Hubei Jingxing Sign Manufacturing Agreement For TEOS Supply In China

Entegris recently announced that it has signed an agreement with Hubei Jingxing Science & Technology, Incorporated, Co., Ltd. (Hubei Jingxing), a specialty chemical manufacturer in Suizhou (Hubei Province), China to manufacture Entegris high-purity deposition products. These high-purity deposition products include TEOS (tetraethyl orthosilicate), a material particularly critical to enabling 3D NAND technology which is used in semiconductor manufacturing to produce leading-edge, faster storage, and memory.



"Our partnership with Hubei Jingxing enables us to expand capability for Entegris in China and shorten the deposition materials supply chain for Chinese customers," stated Entegris Senior Vice President and General Manager of Specialty Chemicals and Engineered Materials, Stuart Tison. "We are proud to become the first international company to establish high-volume TEOS manufacturing capacity in China, Entegris UltraPur™ TEOS , which is critical for the manufacture of 3D NAND and supports the overall growth of the semiconductor industry in the country."

"Our partnership with Entegris allows us to further expand our competencies in high-purity materials manufacturing," said Hubei Jinxing General Manager, Ye Gang. "Additionally, we will adopt manufacturing processes to match existing Entegris processes, as well as employ their quality control system to produce the most consistent results."
TECHCET ANALYSIS: China continues to invest to support the 2D-NAND to 3D-NAND transition tracked by TECHCET in terms of wafer starts and materials, equipment and delivery equipment. According to TECHCET's Critical Materials Report on advanced dielectric precursors, the materials market (ALD, CVD and SOD) was roughly US$365M in 2016, should break $400M in 2017, and is estimated to reach $560M for 2021 by a forecasted CAGR of 11% over the period as a whole. TEOS is a versatile CVD precursor in semiconductor manufacturing, is used across all nodes for STI and gap-fill with demand estimated at almost $30M, and should out-grow wafer starts due to new applications on the horizon. Growth is clearly driven by dielectric Plasma ALD deposition in multiple patterning, and by dielectric CVD in the 3D-NAND dielectric layer stack (48-72 layers today). 

More information on Dielectric Precursors : LINK

Thursday, September 7, 2017

ALD Enabled Battery Materials, Methods and Products IP Roll-up by Forge Nano

Forge Nano is pleased to announce the completion of its Intellectual Property roll-up initiative for Atomic Layer Deposition (ALD) enabled battery materials, methods of manufacturing, and products.

Through a series of patent filings, acquisitions, and licenses, Forge Nano is pleased to offer its customers and partners a comprehensive IP portfolio to incorporate the benefits of ALD surface modification coatings into battery products for enhanced safety, lifetime and end-use performance. Forge Nano is currently accepting licensing offers for this portfolio, with the anticipation of closing on a first round of field-limited agreements by the end of 2017. 

The cornerstone of Forge Nano’s ALD-enabled battery materials IP protects lithium-containing cathode and anode materials with coatings of up to two nanometers in thickness (US 9,570,734):

Claim 1: An electrode comprising a plurality of particles having a diameter of maximally 60 μm, wherein the particles are coated with a protective layer having a uniform thickness of about 2 nm or less, wherein the protective layer of the particles is obtained by atomic layer deposition, and wherein the particles are lithium-containing particles.

Monday, September 4, 2017

Taiwan top-3 foundries combined revenues to rise 13% in 3Q17

Combined revenues of Taiwan's top-3 foundries - Taiwan Semiconductor Manufacturing Company (TSMC), United Microelectronics (UMC) and Vanguard International Semiconductor (VIS) - are estimated at US$9.61 billion in the third quarter of 2017, up 13.3% sequentially but down 0.1% on year, according to Digitimes Research.

Source: DIGITIMES LINK

Friday, September 1, 2017

Samsung to Invest $7 Billion in China Fab

Reuters reports: Samsung Electronics Co Ltd expects to invest $7 billion over the next three years to expand its NAND memory chip production in China’s northwestern city of Xi‘an, the South Korean tech giant said on Monday. In a regulatory filing Samsung said it approved $2.3 billion of the expected investment of $7 billion on Monday.In early July, when Samsung announced an investment of $18.6 billion in South Korea, it said it would add a production line at its NAND plant in Xi‘an, but did not set an investment amount.

Reuters LINK

 
Samsung current Xian F1x1 fab for VNAND production having a 100,000 wafers starts per month capacity (picture from samsung.com)
 

Fab Tool Sales Show Signs of Coming Back to Earth

EE Tines reports: SAN FRANCISCO — Billings for North American semiconductor manufacturing equipment firms increased on a year-over-year basis for the 10th consecutive month in July, but declined on a sequential basis, according to the SEMI trade association.

EE Times LINK