Tuesday, May 30, 2017

TSMC to start equipment move-in at Nanjing plant in September

Taiwan Semiconductor Manufacturing Company's (TSMC) new 12-inch plant in Nanjing, China will be ready for equipment move-in in September 2017, according to the Taiwan-based foundry. 
 
TSMC's Nanjing plant will directly enter 16nm FinFET chip production with volume production slated for the fourth quarter of 2018.
 
Source: DIGITIMES LINK 

Monday, May 29, 2017

TSMC to start 7nm production using Immersion Lithography in 2018 & EUV will follow 2019

DigiTimes Reports: Taiwan Semiconductor Manufacturing Company (TSMC) is set to move its 7nm process technology to volume production in 2018, an improved version of the 7nm process using extreme ultraviolet (EUV) will be ready for volume production a year later, according to company co-CEO CC Wei.
 
 
 

Sunday, May 28, 2017

Picosun reports repeat sales of production cluster systems

ESPOO, Finland, 29th May, 2017 – Picosun Oy, leading supplier of advanced Atomic Layer Deposition (ALD) thin film coating technology, reports of repeat sales of PICOPLATFORM™ production cluster systems to major industries.
 

The PICOPLATFORM™ cluster systems are designed for fully automated, high throughput operation in production environment. Several leading microelectronics manufacturers in USA and Asia have chosen these systems to manufacture IC components in the most advanced semiconductor nodes. The SEMI S2/S8 compatible PICOPLATFORM™ systems can handle wafers up to 300 mm size. The modular configuration with a comprehensive variety of wafer handling automation systems ensures each PICOPLATFORM™ cluster can be tailored for optimal performance according to each customer’s individual needs, whereas fast processing ensures low cost of ownership. To maximize system uptime and to guarantee always flawless process quality, Picosun provides all-inclusive after sales support, including precursor delivery services, through PicoSupport™ and PicoDevelopment™ service contracts and programs.

“We are proud of the confidence that our industrial customers place on us. Today, we collaborate with the global tier one businesses in semiconductor manufacturing. These companies are leaders in their own fields and possess the highest expertise in what they do, but when it comes to ALD, it’s Picosun in whom they trust. Repeated sales of production cluster systems to these companies proves our ability to satisfy even the most stringent demands of semiconductor industry leaders,” states Mr. Kustaa Poutiainen, Chairman of the Board and Chief Executive Officer of Picosun.

Friday, May 26, 2017

Veeco completes acquisition of Ultratech for $862m


Thin-film etch and deposition process equipment maker Veeco Instruments Inc of Plainview, NY, USA has completed its acquisition of Ultratech Inc of San Jose, CA, USA (which designs and makes lithography, laser-processing and inspection systems used to manufacture semiconductor devices and LEDs...


Source: Semiconductor Today
Read full article >

Thursday, May 25, 2017

Aixtron to sell its ALD/CVD business to Eugene Technology




Aixtron will sell the ALD and CVD memory product line, at Aixtron, Inc. based in Sunnyvale, California to Eugene Technology Inc., a wholly owned U.S. subsidiary of Eugene Technology Co., Ltd, South Korea.

Source: Evertiq
Read full article >

Aixtron completed take over of American ALD/CVD rival Genus, Inc. in March 2005 for about USD 140 million. This deal is reported to be in the order of USD 45 million and 55 million, which is considerably less.

Reportedly, the main customer today for Aixtron ALD is Samsung and the Aixtron ALD/CVD semiconductor business unit has had an annual revenue at about USD 20 to 40 million the last years years.








Thursday, May 18, 2017

Applied Materials Delivers Record Revenue and Earnings Per Share

SANTA CLARA, Calif., May 18, 2017 (GLOBE NEWSWIRE) -- Applied Materials, Inc. (AMAT) today reported results for its second quarter ended April 30, 2017.
  • Record revenue of $3.55 billion up 45 percent year over year
  • Strong year-over-year increases in gross margin and operating margin
  • Record EPS of $0.76 and non-GAAP EPS of $0.79


Compared to the same period last year, net sales increased by 45 percent to $3.55 billion; gross margin grew 4.1 points to 45.1 percent; operating margin increased by 9.2 points to 26.5 percent; and earnings per share (EPS) grew 162 percent to $0.76. On a non-GAAP adjusted basis, gross margin grew 3.6 points to 46.3 percent, operating margin increased by 8.6 points to 27.8 percent, and EPS grew 132 percent to $0.79.

 Segmented revenue

Continue at Seeking Alpha: LINK

Wednesday, May 17, 2017

Oxford Instruments are promoting a cluster tool for Atomic Scale Processing

Oxford Instruments are promoting a cluster tool for Atomic Scale Processing including the metrology tools to analyze and monitor these processes:
Metrology:


The technology exists to make these layers and Plasma Technology has these tools and is uniquely able to cluster them all on a single tool (LINK).

Monday, May 15, 2017

Amtech posts revenue growth in quarterly results (2Q/2017)

PV Magazine Reports : The U.S./Dutch tool maker Amtech Systems Inc. saw an order intake and quarter-end backlog increase in the latest quarter. All of the company’s segments contributed to the turnover growth registered in this period.  Amtech Systems Inc. reports that it registered turnover of $33 million in the second quarter of fiscal 2017, which ended on Mar. 31, 2017. The revenue for the period amounts to $33 million, of which $17 million comes from the solar division that includes Spatial ALD (SoLayTec)



“The sequential increase and the increase from the prior year quarter,” the company explains, “is due primarily to increased demand for our solar plasma enhanced chemical vapor deposition (PECVD) and atomic layer deposition (ALD) tools, as well as our semiconductor equipment.”

More detials can be found in the Seeking Alpha trascript of the earnings call here.


The Amtech business unit SoLayTec in Eindhoven, The Netherlands, develops, produces and services production systems for ultrafast, spatial Atomic Layer Deposition, a promising technology for ultrathin Al2O3 passivation layers on solar cells.

Friday, May 5, 2017

Veeco 1Q/2017 earnings call with update on the Utratech acquisition

Here is the Veeco 1Q/2017 earnings call with with an update on the Utratech acquisition. The slides are available at Veec.com (slides). The acquisition is expected to close this month and from an ALD point of view it will be very interesting to see what the Veeco strategy will be having two very innovative ALD business units coming from S.I. Lee´s Synos Technology acquisition and the Ultratech acquisition of Jill Becker´s Cambridge Nanotech

You can find a full transcript of the call at Seeking Alpha (here). Even though, ALD was not a topic in this call the potential to create a >USD 100 Million ALD business unit is definitely there!

 Update on the Utratech acquisition. The slides are available at Veeco.com (slides)

Thursday, May 4, 2017

Advanced Energy sales for Plasma ALD sources show growth in Logic and 3DNAND

Advanced energy recently reported their 1Q/2017 showing off a Q1 Revenue increased 44.9% y/y and 10.3% q/q to $149.4 million (LINK)


In the following eraingscall (Yahoo Finance) Yuval Wasserman, Advanced Energy Industries, Inc. - CEO, President and Director, had this to say about their recent design wins for Plasma ALD remote plasma sources and emerging plasma sources for etch accelerated by 3DNAND and Logic 10 nm ramp demand.
 
A key driver of our success comes from continuously investing in R&D and winning new designs in this fast-growing areas. This quarter, we saw a broad set of design wins in semiconductor applications for customers in Asia and the U.S. Advanced 3D memory and logic devices drove the majority of the wins. We also won designs in new plasma-enhanced atomic layer deposition applications with our new remote plasma source technology, which is being adopted for radicals-based processes.
 
Finally, this quarter we had an important milestone with our solid state RF matching product, which has progressed from evaluation to pilot and mass production for advanced etch applications. Solid state RF matches enable the performance of emerging short plasma processes with high speed, reliable and dynamic control.

Looking ahead, solid state drives and mobile headsets continue to generate demand, leading to 3D NAND acceleration and additional foundry and logic investment in the ramp of 10 nanometers and the development of 7 and 5 nanometers. As the semiconductor capital equipment industry strives to keep pace, some OEMs are reaching maximum for capacity and tailoring their material planning accordingly. This leads us to expect our second quarter semiconductor revenues to remain at or above the first quarter's level.
 
Advanced Energy are offer in a ICP source (Litmas RPS) as well as a CCP (QUANTA) sources that are used in ALD and ALE, besides other classical semicondcutor processing like PECVD, PVD and RIE.
 

The Advanced Energy Litmas RPS Source (ICP type) uses in thin film deposition (LINK)