Wednesday, March 29, 2017

Intel announce first SAQP in Logic and Much Moore at 10 nm

Intel announce first SAQP and Much Moore at 10 nm during their most recent Investor Show (March 28, 2017). SAQP is already process of record in DRAM at Sasmung since 2016 10 nm class DRAM was introduced (LINK)



Technology Manufacturing Day - Strategy Overview (Stacy Smith)


Technology Manufacturing Day - Moore’s Law (Mark Bohr)

Technology Manufacturing Day - 14nm Leadership (Ruth Brain)


Technology Manufacturing Day - 10nm Leadership (Kaizad Mistry)



[check out slide 13, screendump]


Technology Manufacturing Day - 22FFL (Mark Bohr)


Technology Manufacturing Day - IDM Advantage (Murthy Renduchintala)


All recent briefings: LINK

Tuesday, March 21, 2017

2016 saw growth in Multiwafer ALD Equipment due to patterning and 3DNAND

The reporting for the semiconductor equipment companies has now com to an end and reports on the development during 2016 are staring to come in. Some days ago Seeking Alpha reported on the over all situation in the Top 10 ranking as well as some insights from the companies just below, i.e., Top 20.



Based on the annual revenues reported I summarized the situation going from 2015 through 2016 in the plot above. Please find a summary and additional comments from the article below going down the ranking fro all companies relevant to ALD:

Applied Materials grew 26.2%, due to growth in 3D NAND and leading edge logic devices (FinFET) and multiple patterning processes. However, reportedly not in ALD, which remains to be confirmed. Some of that huge growth must be ALD and possibly growth fro the Olympia ALD platform.

Lam Research made a solid growth of 7.5% gaining share against Applied Materials in deposition, as its 3D NAND shipments grew more than 80%. reportedly primarily due to  growth in ALD.
Tokyo Electron was fourth, gaining 15%, primarily in CVD deposition processes. How much of this growth in CVD is actually ALD and the ratio singel/multi wafer vs. furnace was not reported. Others have claimed that Tokyo Electron is taking market share in PEALD from ASM with their NT 333 SiO2 ALD platform that relizes high speed ALD cycles to achieve system throughput of over 100 wafers per hour for e.g. SADP and SAQP. Please see a recent blog covering this tool and topic by Prof. Kessels (LINK).
ASM International dropped 6.6% in 2016 due to less investments by the fabs in single wafer ALD. Single wafer ALD is mainly used for the High-k / Metal Gate Stack which until today only comes ones per wafer. ASM single wafer is also deployed for spacers and multiple patterning using the ASMI high productivity XP8 platform with dual Chambers. Apparently, the other platforms with four, five or more wafers per chamber are more productive and used for multiple passes per wafer in multi pattering and cost sensitive memory products.

Hitachi-Kokusai dropped to but remained in Top 10 loosing market chares to its furnace competitor Tokyo Electron.
Korean ALD suppliers Jusung Engineering and Wonik IPS gained market shares in ALD - how much was not reported.

Clearly the growth 2016 was in multiwafer ALD driven by patterning and 3DNAND but still a lot of question marks on the ALD situation and I look forward to the outcome from the Gartner report due soon I think.



Saturday, March 18, 2017

Report: Atomic Layer Deposition Equipment (ALD) Market: Wide Application Base to be Profitable for Growth

The involvement of numerous local and players in the global atomic layer deposition equipment market is likely to make the competition intense in the coming years, says Transparency Market Research (TMR) in a research report. Competition among the leading players in expected to further intensify as they strive to retain a strong hold in the market. Competitive rivalry in terms of technology and product is expected to grow with new upgrades and innovative products being introduced in the market. The primary factor fuelling the degree of competition in the market is the incessant research and development activities for introducing new and innovative technologies. Moreover, factors such as cost effectiveness along with technological advancement of any single player is expected to it towards a competitive edge over other companies. Thus, the degree of competition in this period is likely to stay high over the forecast period. The key players in the global atomic layer deposition equipment market are Aixtron SE, ASM International N.V., Tokyo Electron Ltd., and Ultratech Inc.

According to a TMR analyst, “The global atomic layer deposition equipment market is expected to rise at an outstanding CAGR of 29.4% between 2016 and 2024. The market was worth US$875.0 mn in 2015 and is likely to touch a valuation of US$8,585.4 mn by the end of 2024.”

Biopolymer Films Market to exceed $6bn by 2024

ALD is listed as one of the thin film technologies to mass produce Biopolymer thin films in a recent report "Biopolymer Films Market to exceed $6bn by 2024" by Global Market Insights Inc.
The US Biopolymer market segmented by Golobal Market Inc. (Source: https://www.gminsights.com/industry-analysis/biopolymer-films-market)

According to the press release Global biopolymer films market size is majorly driven by robust growth in the food & beverage industry. For instance, the global food & beverage industry is likely to observe growth close to 8% CAGR over the estimated timeframe. The industry is also positively influence by drifting consumer focus for food packaging films derived from bio-based sources such as polysaccharides (starch, cellulose) and proteins (soy, whey & gelatin). Furthermore, introduction of edible biopolymer films for the food industry will complement the overall industry share over the estimated timeframe.

“Bio-based Biopolymer Films Market is forecast to witness prominent gains of over 6% CAGR between 2016 and 2024. Abundant availability of raw materials such as cellulose, starch, pectin, chitosan, and protein will boost the global market share over the estimated timeframe.”

The product offers valued added advantages such as ecofriendly characteristics, biocompatibility and easy raw material availability. However, relatively high product cost in comparison with prevailing counterparts such as semi-synthetic and synthetic films may hamper the overall industry growth in the near future. For example, biopolymer films market price trend ranges from USD 3/kg to USD 3.5/kg. Whereas, semi-synthetic and synthetic films range from USD 1.2/kg to 2/kg.

The report includes key industry insights spread across 160 pages with 165 market data tables & 11 figures & charts from this 2017 report Biopolymer Films Market in detail along with the table of contents at: https://www.gminsights.com/industry-analysis/biopolymer-films-market






Monday, March 13, 2017

Entegris Partners with Spectrum Materials to Manufacture Specialty Chemicals in China

BILLERICA, Mass. – March 13, 2017Entegris Inc. (NASDAQ: ENTG), a leader in specialty chemicals and advanced materials handling solutions for the microelectronics industry, today announced it has signed an agreement with Spectrum Materials (Fujian) Co., Ltd. to expand its presence in China. According to the agreement, Spectrum Materials, a manufacturer and distributor of specialty chemicals, will manufacture Entegris specialty chemicals products at Spectrum Materials’ Quanzhou facility.
 
“We are excited about this partnership, as it will significantly improve our capabilities to meet growing demands for specialty chemicals in the industries we serve,” stated Entegris Senior Vice President of Specialty Chemicals and Engineered Materials, Stuart Tison. “Spectrum Materials is a well-established company in China that has experience supplying related high-purity chemicals and shares our expectations for quality and manufacturing standards. As we have done in other global regions, we continue to look for ways to better serve our customers and to add value with local collaboration, business processes and resources.”

Wednesday, March 8, 2017

REGISTER NOW FOR CRITICAL MATERIALS COUNCIL (CMC) CONFERENCE 2017



REGISTER NOW FOR CRITICAL MATERIALS COUNCIL (CMC) CONFERENCE 2017
The Critical Materials Council (CMC) Conference is a 2 day event, May 11-12 in Dallas, TX, providing actionable information on materials and supply-chains for current and future semiconductor manufacturing. Notable speakers from leading IDMs such as Intel and Micron, materials companies, and market research firms will provide insights on the ever changing area of semiconductor process materials and markets.

Keynote speaker Dr. Hans Stork, SVP & CTO of ON Semiconductor, will start the event with sessions covering:
  • Updates on Semiconductor Materials Market Dynamics and Government Regulations
  • Conflict Minerals & Supply Chain Issues
  • Material Handling Issues Cradle to Grave and
  • Metrologies Needed for Profitable Control of All Critical Materials
  • ALD Precursor Purification to CMP Slurries.
For more information and registration go to http://cmcfabs.org/cmc-events/.

Webinar on Atomic Layer Deposition (ALD) Market Analysis

Description

A Webbinat by IndustryARC Nearly the last 5 decades have witnessed Atomic Layer Deposition successfully applied in the growth of thin films of many classes of materials, including metal oxides, metals, polymers, and inorganic-organic hybrid materials. ALD has paved its way across a large section of industries be it medical or biological or semiconductor or for laboratory purposes. While the development of biosensors, drug delivery devices and implants lead the lifesciences domain; manufacturing of electronic circuit components is one of the top most uses of ALD in the electronics segment.

Date and Time

Thu, March 23, 2017
7:30 PM – 8:30 PM SST
Registration: LINK

Samsung Relies on ALD for Continued Scaling of DRAM for 10nm Class Technology:

With DRAM memory, where each cell consists of a capacitor and a transistor linked to one another, scaling is more difficult than with NAND Flash memory in which a cell only needs a transistor. To continue scaling for more advanced DRAM, Samsung refined its design and manufacturing technologies and came up with a modified double patterning and atomic layer deposition.

The Fuel Cell and ALD Overhaul:

ALD has clearly gained prominence in manufacture of fuel cell. IndustryARC predicts high potential in this field in the coming years. Solid Oxide Fuel Cells are noted a quality contribution to the global ALD market:

Durable and high-performance low temperature solid oxide fuel cells
ALD of ultrathin blocking layer for low-temperature solid oxide fuel cell on nanoporous substrate

Supercapacitors Manufacturing: ALD to the Rescue?

With the invent of ALD, energy conversion, producing cost competitive, durable and high performance devices have become increasingly significant and one such application is manufacture of a supercapacitor! Manufacturers, developers and suppliers of supercapacitors have lately gained utmost ascendancy. ALD encapsulated activated carbon electrodes for high voltage stable supercapacitors- continue to trend in the market.

Sunday, March 5, 2017

Picosun Expands Services and Support with Retronix

Picosun Oy, the leading supplier of advanced industrial ALD (Atomic Layer Deposition) technology, announces collaboration with Retronix Semiconductor, a well-known and highly reputed global engineering service company, to further reinforce its support and service portfolio especially for production customers.

Picosun's industrial customer base is growing fast, with increasing number of high throughput, fully automated cluster and batch production tools installed worldwide at prominent semiconductor manufacturers. To ensure always first class support tailored to every customer's individual needs, Picosun provides an all-inclusive service selection with quick lead times and comprehensive, system-specific products with competitive pricing. The services range from 24/7 support and maintenance contracts and coating service to process consultancy and advanced training programs, provided by Picosun's own Ph.D level ALD scientists, highly qualified engineers, and the company's worldwide network of distributors and representatives.

To further strengthen this service portfolio, Picosun has now signed an agreement with Retronix Semiconductor. This ensures even faster response times with highest level support for a fast growing and increasingly demanding customer base. Picosun will also continue offering support locally through current representatives and its own personnel.

"Customer happiness is essential for us at Picosun. This calls not just for the best in class ALD tools with superb process quality, but unfaltering supply, support and service chain. This is particularly emphasized on production lines where the smallest issues can easily lead to massive financial losses. We are very pleased to start collaboration with Retronix as our world-wide service partner. Their workforce of highly trained and specialized service professionals complements our service section and guarantees even better customer experience", states Dr. Erik Ă˜streng, Applications and Services Director of Picosun.

Thursday, March 2, 2017

ASM International today reports its fourth quarter 2016 operating results

ASM International today reports its fourth quarter 2016 operating results (LINK)
  • Net sales for the fourth quarter 2016 were €173 million, an increase of 20% compared to the previous quarter. Year-on-year net sales increased with 19%.
  • New orders at €177 million were 44% above the Q3 2016 level.
  • Normalized net earnings for the fourth quarter 2016 increased by €29 million compared to the third quarter 2016. Operating result increased to €30 million. The financing result included €19 million positive effects from currencies compared to €3 million negative effects in the third quarter. The result from investments decreased with €8 million.



Commenting on the results, Chuck del Prado, President and Chief Executive Officer of ASM International said: "The strong overall market climate for semiconductor equipment towards the end of 2016 led to better sales and a higher order intake for Q4 than forecasted. The net cash position of ASMI remained strong. In addition to a stable proposed dividend of €0.70 per share, we announce today an increase in our current share buyback program from € 50 million to €100 million."

Outlook

  • Demand in the Logic/Foundry segment is expected to remain healthy.
  • We believe the 3D-NAND contribution to the single wafer ALD market will show a strong increase in 2017, leading to increased orders for ASMI.
  • The DRAM segment is expected to show a modest recovery in 2017.

We expect that the single wafer ALD market experienced a double digit decline in 2016. Based upon that we forecast the single wafer ALD market to reach a size of approx. US$1.5 billion in 2020-2021.

We project a year-on-year sales increase for the first half of 2017, whereby we expect a sales level of €135-145 million for Q1 and €160-200 million for Q2, both on a currency comparable level. The order intake in Q1 is expected to remain healthy at a level of €170-190 million, also on a currency comparable level.


Wednesday, March 1, 2017

ASM International has received a supplier excellence award from TSMC

ASM International reports: ASM International has received a supplier excellence award as one of five equipment suppliers from TSMC for the performance and support of ASM's ALD equipment and technology during 2016. The award was presented to ASM by TSMC Co-Chief Executive Officer, Dr. Mark Liu, at the TSMC Supply Chain Management Forum on February 23, 2017 in Taiwan.

Eagle XP8 is a high productivity 300mm tool for PEALD applications. The Eagle XP8 PEALD system can be configured with up to four Dual Chamber Modules (DCM), enabling eight chambers in high volume production within a very compact footprint. (www.asm.com)

 
The award was received by ASM in recognition of its ALD technology and performance in production at TSMC fabs. During the presentation, TSMC explained three points that contributed to the award to ASM.

1) Cutting-edge tool innovations for advanced nodes.
2) Superb support to achieve quick solutions on consigned tools.
3) Great record of on-time tool delivery.

"We are very honored to receive this prestigious award from TSMC and thank TSMC for this recognition," said Chuck del Prado, CEO and President of ASM International, "ASM highly values our partnership with TSMC and we are very pleased that our Pulsar ALD and Eagle XP8 PEALD tools have provided strong benefits to TSMC in its production fabs."
TSMC is the world's largest semiconductor manufacturing foundry. TSMC hosts the Supply Chain Management forum annually to show appreciation for the support and contributions of its suppliers and to recognize outstanding equipment and materials suppliers.
 
 
ASM A412 Large Batch Furnaces form inside a TSMC 300mm Fab (Photo : Copyright® Taiwan Semiconductor Manufacturing Company Limited 2010-2016, All Rights Reserved. )