Thursday, December 14, 2017

Amtech Announces Follow-On Order for Next Generation Solar ALD for PERC Cell Line

TEMPE, Ariz., Dec. 14, 2017 /PRNewswire/ -- Amtech Systems, Inc. (NASDAQ: ASYS), a global supplier of production equipment and related supplies for the solar, semiconductor, and LED markets, today announced its solar subsidiary, SoLayTec B.V., has received a follow-on order for three next generation solar Atomic Layer Deposition (ALD) systems. The order is expected to ship and be installed in this fiscal year. As a leading ALD supplier in the market, SoLayTec has booked a total of 25 ALD system orders since its inception, of which 15 will be used in mass production.

Depending on the capacity levels that are needed, SoLayTec offers three types of InPassion ALD. The main difference is the number of deposition units modules added in such a system. The basic three products offered are 4, 6 or 8 deposition units, which result in 2,400 wph, 3,600 wph or 4,500 wph respectively. (www.solaytec.com)
Fokko Pentinga, CEO and President of Amtech, commented, "This follow-on order brings the total ALD tools ordered by this specific customer to seven. Four systems have been put in production of PERC solar cells in the second half of fiscal 2017. The orders SoLayTec has received from this particular customer represent a total of 1GW of PERC production capacity. This follow-on order validates our customer's confidence in the performance capabilities of our spatial ALD system in high-volume production of PERC solar cells. There is a high level of enthusiasm in the PV marketplace for PERC solutions and this manufacturing platform supports our customers' goals to improve the total cost of ownership by increasing cell efficiency."

Sunday, December 10, 2017

KKR Completes Tender Offer for Hitachi Kokusai

TOKYO--(BUSINESS WIRE)--Global investment firm KKR and Hitachi Kokusai Electric Inc. (“Hitachi Kokusai” or the “Company”; TSE stock code 6756) today announced the completion of a tender offer by HKE Holdings G.K. (the "Offeror"), an entity owned by KKR investment funds, for the common shares of Hitachi Kokusai (excluding the 51.67% of its shares that are owned by Hitachi, Ltd. (“Hitachi”). Approximately 25.55% of the common shares have been tendered through the tender offer and will be acquired by the Offeror.

In connection with the tender offer, the Offeror has entered into an agreement (the “Agreement”) with Hitachi, the lead shareholder in Hitachi Kokusai, and HVJ Holdings Co., Ltd., (“HVJ”), an entity backed by funds managed/ serviced by Japan Industrial Partners, Inc. (“JIP”). Under the terms of the Agreement, following a share consolidation after the tender offer, Hitachi Kokusai will acquire Hitachi’s 51.67% holding of Hitachi Kokusai’s common shares in a share repurchase. Thereafter, Hitachi Kokusai will conduct an absorption-type company split pursuant to which the Offeror will succeed to 100% of the Company’s Thin-Film Process Solutions business. Subsequently, the Offeror will transfer 20% of the shares of Hitachi Kokusai to each of Hitachi and HVJ, resulting in 60%, 20%, and 20% ownership of Hitachi Kokusai’s Video and Communication Solutions business for the Offeror, Hitachi and HVJ, respectively.

Wednesday, December 6, 2017

Picosun Oy and Ushio Inc. start collaboration in Japan

ESPOO, Finland, and TOKYO, Japan, 6th December, 2017 – Picosun Oy, a leading supplier of advanced Atomic Layer Deposition (ALD) thin film coating solutions, and Ushio Inc., a leading manufacturer of light sources and optical equipment, have started collaboration to boost the sales of Picosun’s ALD technology in the Japanese market.

Japan has long been one of Picosun’s most important market areas, where the demand for industrial ALD solutions is constantly increasing. In order to always guarantee first-class service to the esteemed customers in the area, Picosun Japan Co. Ltd was established as Picosun’s local subsidiary. Now, to extend this sales and service network even further, Picosun has decided to collaborate with Ushio, with Ushio working as its sales representative. Ushio is a well-known, well-established supplier of a wide variety of manufacturing equipment to the global semiconductor market, and Picosun’s fully automated, SEMI-compliant, and production line compatible industrial ALD systems will be a key addition to their portfolio.

China's Focus Lightings orders Veeco EPIK 868 MOCVD systems for LED production

Epitaxial deposition and process equipment maker Veeco Instruments Inc of Plainview, NY, USA has received an order for multiple TurboDisc EPIK 868 gallium nitride (GaN) metal-organic chemical vapor deposition (MOCVD) systems, to be shipped to the manufacturing facility in Suzhou, China of Focus Lightings Tech Co Ltd for the production of high-volume light-emitting diodes for general lighting and display applications (adding to its existing installed base of Veeco systems).
 
Source: Semiconductor Today LINK
 

Tuesday, November 28, 2017

Picosun provide ALD Cluster Tool to Swedish MEMS Foundry Silex Microsystems

ESPOO, Finland, 29th November, 2017 – Picosun Oy (Finland), Silex Microsystems AB (Sweden), and Pegasus Chemicals Ltd (UK) have joined forces to develop and provide novel ALD (Atomic Layer Deposition) solutions and processes for MEMS (MicroElectroMechanical Systems) industries. The aim of the collaboration is to realize emerging, advanced MEMS structures that would not be possible to manufacture by any other thin film deposition methods.


Today, MEMS are crucial components in several everyday applications such as mobile phones, cars and in various sensor systems. In addition to these already vast markets, the rapidly spreading Internet-of-Things with its billions of independently communicating electronic devices is a huge driving force to accelerate MEMS industry’s exponential growth in the very near future. 

This is Silex Microsystems (Promotion Viedo from Youtube)
In the Picosun-Silex-Pegasus collaboration, a PICOSUN™ ALD cluster platform is installed at Silex’s MEMS foundry in Järfälla, Sweden. The platform consists of a fully automated, factory integrated cassette-to-cassette vacuum robot for substrate handling and a PICOSUN™ P-300F ALD reactor capable of coating up to 25 pcs 8” wafers in a batch. The installed reactor can deposit various metal oxides, metal nitrides, and pure metals on up to tens of thousands of wafers per month (*). Pegasus develops and manufactures the precursor chemicals required for the ALD processes and provides the technical support and delivery options for individual applications. The cluster platform can be later upgraded with two additional ALD reactors. In the collaboration, engineers and scientists from all three partners work together to solve existing problems in MEMS processing, as well as to develop completely new openings on how to realize novel MEMS devices.

“We have been working with Picosun since 2010 and now with this project we can bring our collaboration to a completely new level. We are very excited to have the PICOSUN™ ALD cluster platform in our cleanroom. It enables us to develop novel, production-proven ALD solutions for our customers in advanced MEMS applications,” says Dr. Niklas Svedin, Vice President of Engineering at Silex Microsystems. 
“This is a valuable project for us, as the use of ALD in MEMS processing is increasing very fast. We have already strong presence in the MEMS market, but new applications come up weekly and we want to keep our spearheading position in this development. Now in the SALADIN project we have partners with whom we can also test and develop new ideas of our own how ALD could be implemented in the MEMS process flow,” continues Mr. Juhana Kostamo, Managing Director of Picosun. 
“The MEMS industry is a fast-growing market and it is very interesting for us to be involved in the process of introducing the groundbreaking ALD cluster platform to it. We are eager to be in the frontline of the chemical development for this field and focusing on advanced MEMS applications,” summarises Dr. Paul Williams, Technical Director of Pegasus Chemicals. 
Picosun Oy (LINK)
Silex Microsystems (LINK)  
Pegasus Chemicals (LINK)

(*) Throughput calculated for 10 nm Al2O3, 90% system uptime.

Friday, November 24, 2017

The 7nm race by TSMC and Samsung - EUV or not EUV

According to industry sources on October 19, Samsung Electronics is considering a plan to purchase 10 extreme ultraviolet (EUV) lithography tools from the Netherlands-based ASML, the biggest semiconductor equipment maker in the world. To put tha in perspective - ASML believes that it can produce about 12 EUV lithography tools this year. It is the only company that manufactures EUV lithography tools in the world.

Sales in ALD and Etch equipment have been boosted by multiple patterning technologies based on Immersion lithography, both for Logic/Foundry and Memory. Maybe as much as 1/3 of the single/multi wafer ALD equipment market is patterning related. The last two years or so analyst have been busy trying to figure out the impact on deposition and etch equipment sales if/when EUV is introduced. Here is a recent take down by Seeking Alpha (LINK). My view is that scaling is based on symbiotic use of the latest technologies and multiple patterning and EUV will co-exist and keeping the scaling path alive. In addition, scaling opens new opportunities for ALD, ALEtch and future use of selective growth technologies with atomic scale precision. According to recent reports the ALEtch market segment is now considerd an actual segment by itself and has entered HVM (LINK).
Fudzilla reports: Korean based ETNews has mentioned that Qualcomm 7nm manufacturing has been a big win for TSMC while two other US and China customers chose Samsung’s 7nm. TSMC traditionially have dibs on Nvidia and MediaTek according to the report.

Qualcomm and Broadcom, according to the report are designing their next generation chips with TSMC’s7-nano PDK. The reason why Qualcomm went with 7nm with TSMC is the fact that the fab uses normal steppers while Samsung wants to make its 7nm with more bold and riskier EUV (Extreme Ultraviolet) photolithography technology.

View of Samsung Electronics’ Hwasung 17 line. It is expected that Samsung Electronics will build a new 7-nano plant on a nearby site according to ETNews.

Samsung is expected to be later to the 7nm game and early adopters had to go with TSMC. EUV is still technology that is not entirely ready for the mass market and there is a disagreement weather you should need to use Extreme Ultraviolet light manufacturing with 7nm or first with 5nm. Obviously the two main fabs disagree while GlobalFoundries cooperates and shares technology with Samsung, and will have Samsung to rely upon for 7nm.

Full article: Qualcomm 7nm made by TSMC [LINK]
ETNews original source: Samsung Electronics Close to Securing Two New Customers for Its 7-Nano Foundry[LINK]
Business Korea: Keeping Leadership in 7-nano Era Samsung Electronics Seeks to Buy Up Next-gen Semiconductor Mfg Equipment

Sunday, November 19, 2017

Atomic Layer Etching is entering HVM for 14, 10 and 7 nm Logic

After years in R&D, several fab tool vendors last year finally began to ship systems based a next-generation technology called atomic layer etch (ALE).

ALE is is moving into 16/14nm, but it will play a big role at 10/7nm and beyond. The industry also is working on the next wave of ALE technology for advanced logic and memory production.

Used by chipmakers for years, traditional etch systems remove materials on a continuous basis in devices. Considered a next-generation etch technology, ALE selectively removes targeted materials at the atomic scale without damaging other parts of the structure. For example, ALE can be used to remove materials in a structure to form trenches with gaps on the order of 10 to 15 angstroms or 5 atoms wide. (An angstrom is 0.1nm.)

 
Applied Materials Centris Sym3 is one of the Applied Materials offering for ALE. It can be configured to handle plasma ALE for anisotropic etch applications, such as self-align contacts and spacer-based patterning.

Applied Materials, Hitachi High-Technologies, Lam Research and TEL are among the suppliers of ALE tools in the market. Today, ALE represents a tiny percentage of the overall etch market. In total, the worldwide dry etch business is projected to reach $9.6 billion in 2017, up from $7.2 billion in 2016, according to Gartner.

Full article :  "What’s Next For Atomic Layer Etch?" by Mark Lapedus, Semiconductor Engineering (LINK)


Saturday, November 18, 2017

Arradiance and InRedox team up to offer ALD Functionalized Nanomaterials

SUDBURY, Mass., Nov. 17, 2017 —Arradiance’s formidable Atomic Layer Deposition (ALD) foundry service and InRedox’s world-class manufacturing of nanoporous anodic aluminum oxide (AAO) and nanotubular anodic titanium oxide (ATO) will provide new ALD-functionalized Nanoceramics for life sciences, nanotechnology, filtration and separation, energy generation and storage, analytical equipment and many other applications.

Arradiance LLC, manufacturer of the popular GEMStar family of professional, research grade Atomic Layer Deposition (ALD) systems and provider of nanofilm foundry services, today announced a partnership with InRedox LLC (Longmont, Colorado) to provide nanotechnology researchers with ALD-functionalized nanostructured materials (wafers, membranes and nanotemplates).

“InRedox’s innovative AAO / ATO wafers and templates have demonstrated utility at leading commercial, academic and government research institutions across a broad range of technology areas,” said Arradiance CEO Michael Trotter. “I am certain that the combination of Arradiance’s ALD nanofilms with InRedox’s powerful nanofabrication technology platform will spur exciting new developments,” explained Mr. Trotter.

“InRedox is excited by the potential of ALD functionalized nanoporous ceramics,” stated Dmitri Routkevitch, InRedox President and CTO, “and we look forward to working with Arradiance to continue to expand the range of nanomaterials that inspire and enable our customers in their pursuit of scientific breakthroughs or new products.”

Aixtron complete sale of ALD/CVD memory product line to Eugene Technology

AIXTRON SE (FSE: AIXA), one of the world’s leading providers of deposition equipment to the semiconductor industry, announced today that the sale of AIXTRON’s ALD and CVD memory product line to Eugene Technology Inc., a wholly owned U.S. subsidiary of Eugene Technology Co., Ltd, South Korea was completed as of November 15, 2017. 

The QXP-8300 Atomic Layer Deposition (ALD) mini-batch system suitable for e.g. high-k oxide films in advanced memory applications including 3D structure devices (aixtron.com)

AIXTRON will receive c. USD 60 million for the assets being transferred and c. USD 11 million for open supplier orders for which it retains the liability to pay.

AIXTRON, Inc., the U.S. subsidiary of AIXTRON SE situated in Santa Clara, California, will continue to provide sales and support for its continuing businesses.

Monday, November 13, 2017

Veeco's Q3 revenue growth driven by continued recovery in MOCVD market

For third-fiscal 2017, epitaxial deposition and process equipment maker Veeco Instruments Inc of Plainview, NY, USA has reported revenue of $131.9m, up 15% on $115.1m last quarter and up 54% on $85.5m a year ago, marking the first full quarter of combined results for Veeco and Ultratech Inc of San Jose, CA, USA (which designs and makes lithography, laser-processing and inspection systems for the advanced packaging, front-end semiconductor and LED markets), which was acquired on 26 May.

Source: Semiconductor Today LINK

Versum Materials Inc. (VSM) Issues Quarterly Earnings Results

Versum Materials Inc. (NYSE:VSM) posted its quarterly earnings data on Thursday. The basic materials company reported $0.47 EPS for the quarter, meeting the Thomson Reuters' consensus estimate of $0.47, Bloomberg Earnings reports. The firm had revenue of $294.50 million during the quarter, compared to analyst estimates of $281.39 million. 

Source: Zolmax News LINK

Versum Materials Slide Deck:  LINK

Friday, November 10, 2017

Picosun Oy shows record growth in ALD for fiscal year 2016/2017

Picosun is one of the leading suppliers of ALD thin film deposition technology for semiconductor, lightning, MEMS as well as for other industries and research. This week I had the chance to meet with Kustaa Poutiainen himself, Chairman and Chief Executive Officer of Picosun Oy. in Dresden. We had a very interesting meeting discussing recent developments in ALD Technology announced by Picosun as welal as discussing the past and future outlook of ALD.   

Mr Poutiainen was in Germany to meet with customers and overseeing Picosuns activities and expansion in Germany. In the European perspective Germany is the biggest market for ALD Technology and Equipment. For those of you who do not know, Picosuns ALD equipment portfolio in detail it ranges from fully automated ALD batch and cluster systems for high volume manufacturing to smaller scale R&D and pre-pilot production tools (see below). 

A snapshot of the Picosun products - more information here.
Picosun has recently opened a branch office in Germany - Picosun Europe GmbH, headed by General Manager Dr. Christoph Hossbach, serving its growing install base of ALD equipment on the German market, both at leading research institutes within Fraunhofer and other research organizations and wafer fabs. According to previous press releases made we know that they have an number of high value customers in Germany including Bosch Sensortech and Osram

Mr Poutiainen was very excited over the development of Picosun as a company and informed me that it ended its Fiscal year 2016/2017 in September by a record turnover growth of 27% landing at just above 21 million EUR for 2016/2017 and more details will be released soon. The company is constantly investing to develop it operations further globally adding new local teams and having a strong focus on R&D. Mr Poutiainen did also let me know that Picosuns R&D expenses are at about 20% of the actual turnover, which is considerable. Quoting Mr Poutiainen: “The most awarding proof of success of the company is to hire new members. We are looking to hire more than 30 new employees in the next expansion phase”.
Next you have a chance to meet Picosun at SEMICON Europa in Minich. Picosun is exhibiting as well as sponsoring the ALD Lab Saxony Symposium on the 14th of November.
ALD Lab Saxony Symposium : LINK
 



Saturday, November 4, 2017

Veeco wins preliminary patent infringement lawsuit with Chinese competitor AMEC

Veeco Instruments announced today that the United States District Court for the Eastern District of New York granted Veeco's motion for a preliminary injunction against SGL Carbon, LLC, a supplier of wafer carriers to Advanced Micro-Fabrication Equipment Inc. (AMEC). The injunction prohibits the sale of wafer carriers by SGL for use in susceptorless Metal Organic Chemical Vapor Deposition (MOCVD) systems using Veeco's patented technology, including wafer carriers designed for AMEC MOCVD systems.

Source: LEDinside LINK


AMEC was founded in 2004 and is based in Shanghai, China. AMEC manufactures and markets micro-fabrication equipment to semiconductor manufacturers and high-tech sectors including MOCVD equipment.

Solid State Laser manufacturer Lasertel Inc. purchases Oxford Instruments ICPCVD

Oxford Instruments Plasma Technology announce that Lasertel Inc of Arizona, US have recently purchased one of their advanced inductively coupled plasma chemical vapour deposition (ICPCVD) systems for the manufacture of Solid State Lasers (SSL).

Source: AZoM LINK

Thursday, November 2, 2017

SK Hynix to expand DRAM production capacity in China

SK Hynix recently struck a deal with China's city government of Wuxi to set up a new DRAM fab locally with total investment estimated at US$8.6 billion, according to China's local media reports. The new facility will be capable of processing 200,000 wafers built using 1Xnm technology when it becomes operational.

Source: DIGITIMES LINK


Wednesday, November 1, 2017

Veeco and ALLOS form Dresden, Germany demo 200mm GaN-on-Si wafers for blue/green micro-LED adoption

Veeco Instruments Inc of Plainview, NY, USA has completed a strategic initiative with technology engineering & IP licensing firm ALLOS Semiconductors GmbH of Dresden, Germany to demonstrate 200mm gallium nitride on silicon (GaN-on-Si) wafers for blue/green micro-LED production. Veeco teamed up to transfer ALLOS’ proprietary epitaxy technology onto its Propel single-wafer metal-organic chemical vapor deposition (MOCVD) system to enable micro-LED production on existing silicon production lines. 

Source: Semiconductor Today
LINK

Backgound on ALLOS GmbH:

Newly founded ALLOS Semiconductors offers AZZURRO patents and technology
Dresden, Germany – 15th December 2014 – GaN-on-Si technology expert ALLOS Semiconductors, founded little more than six months ago, announced today that it has acquired the exclusive ownership of all technology, know-how and intellectual property of former AZZURRO Semiconductors.



ASM International NV reports 3Q 2017 results - solid growth in ALD continues



Almere, The Netherlands October 31, 2017ASM INTERNATIONAL N.V. REPORTSTHIRD QUARTER 2017 RESULTS ASM International N.V. (Euronext Amsterdam: ASM) today reports its third quarter 2017 operating results.

· New orders at €160 million were 22% below the Q2 2017 level and 31% above last year's Q3 level.
· Net sales for the third quarter 2017 were €185 million, a decrease of 8% compared to the previous quarter. Year-on-year net sales increased with 28%.
· Operating result decreased to €26 million. This reduction is mainly related to the newly introduced products which are temporarily impacting the gross margin and had an effect of €9 million in Q3.
· Normalized net earnings for the third quarter 2017 decreased by €8 million compared to the second quarter 2017. The financing result included €8 million negative effects from currencies compared to €11 million negative effects in the second quarter. The result from investments increased with €1 million.



Commenting on the results, Chuck del Prado, President and Chief Executive Officer of ASM International said:

"In Q3, we realized sales of €185 million and an order intake of €160 million, well in line with our guidance. The sales included several deliveries of Intrepid Epitaxy and new PECVD applications. The initial margin on these newly introduced products is relatively low, as earlier indicated, and impacted our gross margin in the quarter with 5 percentage points. We expect our gross margin to normalize again in the course of 2018."

Source: FinanzNachrichten
LINK

According to the Investor presentation released by ASMI ALD is a key growth market as always:
  • ALD market expected to grow by double digits from ’15 to ’20-’21
  • ASMI has leadership position in ALD

ALD has been outperforming in 2011-2016
  • Sales grown at a CAGR of 11% in last six years, outperforming broader equipment market
  • Single wafer ALD market on track for a clear improvement in 2017 

ASM see solid growth expected for the ALD market for 2017 they expect a clear improvement in
the single wafer ALD market and they expect the single wafer ALD market to reach a size of approx. US$1.5 billion by 2020 to 2021

3Q 2017 Investor presentation: http://www.asm.com/Downloads/2017_Q3_Investor_presentation_.pdf

Wednesday, October 25, 2017

Aixtron's sale of ALD/CVD Product Line to Eugene Technology in South Korea gets US approval

Aixtron SE (AIXG), announced Tuesday that the Committee on Foreign Investment in the United States or CFIUS has approved the sale of ALD and CVD memory product line. 
Eugene Technology CEO Eom Pyeong-yong (left) and Aixtron CEO Kim Schindelhauer pose for a photo holding their contract. (Picture credit: Pulse by Maeil Business Newspaper & mk.co.kr LINK)
The company noted that the investigation by the CFIUS of the sale of AIXTRON's ALD and CVD memory product line to Eugene Technology in South Korea resulted in a determination that there are no unresolved U.S. national security concerns from CFIUS' perspective.
Full report: LINK

Monday, October 23, 2017

Picosun’s ALD technology improves lifetime and reliability of electronic circuit boards

ESPOO, Finland, 24th October, 2017 – Picosun Oy, leading provider of advanced Atomic Layer Deposition (ALD) solutions for global industries, has invented an ALD-based method(*) with which the operational lifetime and reliability of printed circuit board assemblies (PCBA) can be efficiently improved.

Metal whisker formation and corrosion are some key factors that cause PCBA performance degradation over time. Metal whiskers are thin filaments or threads growing out from solders, components, and interconnects on the PCBA, and they can cause short-circuiting that leads to a system failure. This is particularly fatal in several sensitive applications such as medical, automotive, space, aviation, military, and industrial control electronics. 
Previously, lead has been added to solder alloys to prevent whisker formation, but the current environmental regulations prohibit its use. This is why novel methods, such as the ALD-based surface protection technique developed and now industrially enabled by Picosun are very much sought after amongst the electronics manufacturers. In Picosun’s approach, application-specifically tailored ALD nanolaminate forms a dense, conformal, and hermetic seal on the PCBA. This seal protects the PCBA components from the corrosion caused by moisture and impurities in the ambient air and blocks the metal whisker propagation. As ultra-thin films, ALD coatings have the additional benefit of allowing postprocessing of the PCBA, and they do not increase its mass or dimensions. Furthermore, as a gas-phased technique, ALD is gentle to the surface, it can be applied at moderate temperatures, and it is environmentally friendly.



“Our PCBA protection technology has gained lots of interest amongst our clients both in industries and in R&D, and many are eager to start applying it in their manufacturing processes. Electronic circuit board industry is a vast, global market, where we are happy to utilize our ALD knowhow to enable products of extended lifetime and improved safety and reliability. Our comprehensive selection of industrial ALD systems guarantees an optimal solution to every customer, allowing fast and economic processing of even large area PCBAs in just one process run,” states Juhana Kostamo, Managing Director of Picosun.
(*) Pat. pending

AMEC Celebrates 100-Chamber Shipment Milestone For Prismo A7 MOCVD System Used To Manufacture LEDs

SHANGHAI, Oct. 23, 2017 /PRNewswire/ -- Marking a milestone for its Metal Organic Chemical Vapor Deposition (MOCVD) business, Advanced Micro-Fabrication Equipment Inc. (AMEC) today announced that it has shipped 100 Prismo A7™ MOCVD tool chambers since the product's introduction last year.  It is AMEC's second-generation MOCVD tool, and is used by customers to manufacture gallium nitride-based (GaN) Light Emitting Diodes (LEDs) for solid-state lighting products, and other applications. Each Prismo A7 system contains up to four chambers. Production throughput is more than twice as high as AMEC's first-generation MOCVD tool, the Prismo D-BLUE. 
 
 
The products are installed at customer facilities in China, where the majority of the world's LED devices are produced. Customers include leading Chinese LED manufacturers, San'an Optoelectronics and HC SemiTek Corporation. Since its introduction, AMEC has received multi-tool orders, as well as repeat orders for the system. Sales momentum is brisk, and by the end of this year, the company expects to record shipments of approximately 120 chambers.


Source: PR Newswire LINK

Thursday, October 19, 2017

ALD FOR INDUSTRY, MARCH 21 – 22, 2018 – DRESDEN

ALD FOR INDUSTRY, MARCH 21 – 22, 2018 – DRESDEN: Following the succes of ALD for Industry 2017 with 100 participants we will continue to organize this event. This time we have extended the Workshop with half a day to a full 2 day event and the ALD Exhhibition will also be open for the public! In addition, the part of the workshop will be a HERALD Event so please contact us for more details on that.

Conference Chairs: Jonas Sundqvist, Fraunhofer IKTS and Christoph Hossbach, Picosun Germany
Tutorial Chair: Colin Georgi, Fraunhofer ENAS

Committee: Bernd Hintze (invited speaker), Globalfoundries and Henry Bernhardt, Infineon


Background: A topical workshop with focus on industrialization and commercialization of ALD for current and emerging markets Atomic Layer Deposition (ALD) is used to deposit ultrathin and highly conformal thin films. ALD is unique in the sense that it employs sequential self-limiting surface reactions for growth in the monolayer thickness regime. 

ALD in Germany: According to market estimates the equipment market alone is currently at an annual revenue of US$ 1.5 - 1.7 billion (2017) and it is expected to double in the next 4- 5 years. In a European context ALD was invented independently twice in Europe (Russia & Finland) and since the last 15 years Germany has grown to become one of the strongest European markets for ALD in R&D, chemicals, equipment and end users. Here, Dresden and Saxony isa unique ALD hotspot due to a strong semiconductor and equipment industry.

The Event will focus on the current markets for ALD, besides the leading edge semiconductor industry, applications in MEMS and Sensors, Display, Lightning, Barriers and Photovoltaics will be addressed.

 
Sponsors (signed so far):

CONTACT:
Dr. Katrin Ferse
Europäische Forschungsgesellschaft Dünne Schichten e. V.
Gostritzer Str. 63, 01217 Dresden, Tel. +49 351 871 8374, Fax: +49 351 8718431
E-Mail: ferse@efds.org, web: www.efds.org
 
Dr. Jonas Sundqvist 

Fraunhofer IKTS, Dünnschicht-Technologien | Thin-Film Technologies, Winterbergstraße 28, 01277 Dresden, Germany
Telefon +49 351 2553 7693, Mobile +49 173 4394 239
E-Mail: jonas.sundqvist@ikts.fraunhofer.de
www.ikts.fraunhofer.de

 

Tuesday, October 17, 2017

Lam Research Corporation 2018 Q1 - Results - Earnings Call Slides

(Seeking Alpa) Oct. 17, 2017 6:20 PM ET. The following slide deck was published by Lam Research Corporation in conjunction with their 2018 Q1 earnings call.
LINK to slides: here

Veeco Receives First Order for New GENxcel MBE System

Veeco Instruments announced that Acken Optoelectronics will receive the first shipment of Veeco's new GENxcel Molecular Beam Epitaxy (MBE) System.

Acken Optoelectronics Ltd. is a Chinese start-up company specializing in development of high-speed communication devices including advanced optoelectronic communication, RF switching, and low noise amplifiers for mobile applications.

Source: LEDinside LINK

 
Veeco's new GENxcel Molecular Beam Epitaxy (MBE) System (Image from Veeco)

Monday, October 16, 2017

Tokyo Electron & Spin Transfer Technologies signes alliance to bring MRAM to the next level

Spin Transfer Technologies, Inc. (STT) and Tokyo Electron Ltd. (TEL) have today signed an agreement for a collaborative engineering program for next-gen SRAM and DRAM-class ST-MRAM devices.

TEL PVD EXIM have explored its unique capabilities to form multi-layer magnetic tunnel junction (MTJ) stacks for spin-transfer-torque magnetoresistive random access memories (STT-MRAM).

The agreement aims to further the advance of ST-MRAM, a new class of high-performance, persistent memory devices, to provide previously unachievable levels of speed, density, and endurance. The combination of STT’s ST-MRAM technology and TEL’s advanced PVD MRAM deposition tool will allow the companies to quickly develop processes for the highest density and endurance devices. 

Source: Evertiq LINK

Monday, October 2, 2017

NCD signed the large-scale contract to supply ALD equipment to Chinese DMEGC for high efficiency solar cells

NCD has just received the large-scale contract with Chinese DMEGC to supply up to 400MW solar cell manufacturing ALD equipment. This mass production equipment is several Lucida (TM) GS1600 ALD and Automation Systems to produce high efficiency crystalline solar cells with depositing fast high quality Al2O3 ALD on Si wafers. It is repeat order of the same system supplied in the production line in 2016 because the customer has been satisfied with the excellence of the system, that is, NCD’s technology and competitiveness are confirmed by the Chinese customer again. NCD is discussing supply agreements with many other customers besides this contract so is looking forward to rapid sales growth in the near future. 

Sunday, October 1, 2017

TSMC to new build 3nm fab in Taiwan


TSMC said that the company's planned advanced 3nm fab will be located in the Tainan Science Park in in southern Taiwan.

Source: Evertiq LINK

Globalfoundries: Next-generation chip factories will cost at least $10 billion

The economics of the chip industry are pretty staggering. Sanjay Jha, CEO of contract chip manufacturer Globalfoundries, recently told me that it could cost between $10 billion and $12 billion to build a next-generation chip factory, based on the latest technology, dubbed 7-nanometer production. And the generation after that, dubbed 5-nanometer production, could cost $14 billion to $18 billion

Source: VentureBeat LINK

South Korea memory chipmaker to build US$174 million R&D center for NAND, future techs


SEOUL - SK hynix Inc. said Thursday it will build a new research and development center with an investment of $174 million.

When completed in September 2019, dispersed R&D crews across the Icheon campus will move in to the new center, including researchers and developers of the company’s flagship 3-D NAND chips and future technologies.

Source: The Nation Multimedia LINK

Tuesday, September 26, 2017

AIXTRON provides novel deposition system to EPFL for 2D materials research

Leading Swiss university focuses on the development of next-generation semiconductors based on AIXTRON BM system

 
AIXTRON SE (FSE: AIXA), a worldwide leading provider of deposition equipment to the semiconductor industry, today announced that the École Polytechnique Fédérale de Lausanne (EPFL) in Lausanne (Switzerland) has purchased a BM NOVO system. This versatile tool which can produce virtually all variations of 2-dimensional materials (2D) required for emerging optoelectronic applications is dedicated to support the University’s research projects coordinated by Prof. Andras Kis and Prof. Aleksandra Radenovic.

AIXTRON’s BM NOVO system uses a unique combination of plasma-enhanced chemical vapor deposition (PECVD) technology and metal organic chemical vapor deposition (MOCVD) technology to enable the growth of high quality 2D materials such as transition metal dichalcogenides (TMDCs) e.g. molybdenum disulfide (MoS2) or tungsten diselenide (WSe2).

Source: Aixtron LINK

Picosun ships ALD tools to the metal coating industry

ESPOO, Finland, 27th September, 2017 – Picosun Oy, leading supplier of advanced Atomic Layer Deposition (ALD) thin film coating technology, reports of a new breakthrough and repeat sales into metal industries. Picosun’s large scale production ALD systems have been chosen for high volume manufacturing of functional coatings on steel products at various customer locations around the world.

 
The PICOSUN™ P-1000 ALD system is designed for batch coating of various 3D objects such as mechanical parts, glass or metal sheets, coins, jewelry, or medical implants.

Picosun has developed comprehensive ALD solutions for metal surface treatment already for years with its customers and collaboration partners, gaining unrivalled process and design know-how on the topic. With the production-optimized PICOSUN™ ALD equipment, large batches of three-dimensional items can be processed with highly uniform, dense and conformal coating quality down to every microscopic surface detail. Various industries have already selected Picosun’s ALD systems to manufacture e.g. bioactive coatings on metal-based medical implants, and anti-tarnish films to protect coins from discoloration.

The 6th ALD Lab Saxony Symposium at the SEMICON Europe 2017 in Munich, Germany

Please be invited to participate in the annual ALD Lab Saxony Symposium at SEMICON Europa on the 14th of November (10:00 to 15:00) in Munich Germany. The event is free of charge for all visitors to SEMICON Europa and we are very thankful for the sponsoring from Picosun Oy (Platiunum). TECHCET LLC (Gold) and Pegasus Chemicals (Silver).

Confirmed Presentations:

ALD Labs:
  • „Fabrication of 3D microstructures from micron-sized powder using ALD and possible applications for MEMS”, Dr. Thomas Lisec, Fraunhofer ISIT (Germany)
  • „Passivation, Capacitors or 3D-Structures – Outline the Possibilities of ALD”, Dr. Dorothee Dietz, Fraunhofer IMS (Germany)
  • „ALD equipment and precursors for high volume manufacturing”, Dr. Jonas Sundqvist, Fraunhofer IKTS (Germany) 
  • „In-situ metrology for ALD“ Dr. Martin Knaut, IHM TU Dresden (Germany)
  • „ALD process optimization using computational fluid dynamics” Linda Jäckel, Fraunhofer ENAS (Germany)
Industry:
  • „ALD for Production“, Dr. Christoph Hossbach, Picosun Oy (Finland)
  • „Electronics: a key market for Umicore - a key partner for the market” Oliver Briel, Umicore (Germany)
  • „Supply chain of critical materials fro the semiconductor industry”, Dr. Diane Scott, TECHCET LLC (USA)
  • „Precursor delivery systems for ALD & CVD”, Daniel Schlamm, SEMPA Systems GmbH (Germany)



Monday, September 25, 2017

Veeco ships new-generation EPIK 868 MOCVD systems to China for high-volume LED production

Epitaxial deposition and process equipment maker Veeco Instruments Inc of Plainview, NY, USA has shipped multiple TurboDisc EPIK 868 gallium nitride (GaN) metal-organic chemical vapor deposition (MOCVD) systems to several leading Chinese LED makers for the production of light emitting diodes for solid-state lighting applications.


Compared with previous generations, the EPIK 868 MOCVD system enables cost per wafer savings of more than 20%, with a combined advantage of best operating uptime, low maintenance costs and what is claimed to be best-in-class wafer uniformity, as well as a compact architecture with the best footprint efficiency for high-volume LED production.
 
Source: Semiconductor Today LINK

Friday, September 22, 2017

Globalfoundries to roll out 12nm FinFET technology for high-performance devices

Globalfoundries has announced plans to introduce a new 12nm leading-performance (12LP) FinFET semiconductor manufacturing process. The technology is expected to deliver better density and a performance boost over Globalfoundries' current-generation 14nm FinFET offering, satisfying the processing needs of the most demanding compute-intensive applications from artificial intelligence and virtual reality to high-end smartphones and networking infrastructure.
 
Source: DIGITIMES LINK



Accordig to a press release by Global foundries besides transistor-level enhancements, the 12LP platform will include new market-focused features specifically designed for automotive electronics and RF/analog applications—two of the fastest-growing segments in the industry.
  • Emerging automotive applications in vehicle safety and automated driving require a combination of processing power and extreme reliability. The 12LP platform delivers both, with plans for Automotive Grade 2 qualification at Fab 8 by Q4 2017.
  • A new RF offering extends the 12LP platform for RF/analog applications such as premium-tier transceivers in sub-6GHz wireless networks. 12LP offers the best scaling in both logic and memory for RF chip architectures with primarily digital and less RF/analog content.

Thursday, September 21, 2017

Toshiba confirmed it has agreed to sell its flash-memory chip business for $18 billion

Japanese technology giant Toshiba Corp. confirmed Wednesday morning it has agreed to sell its flash-memory chip business for $18 billion to a consortium of bidders that includes Apple, Dell and Seagate.

Full Stories:
Reuters
Asia Nikkei

Wednesday, September 20, 2017

Swedish-American glō Orders G5+ MOCVD System for Micro-LED Production

This summer Google announced that it has invested 15 million USD for a 13 percent stake in Glo, a Swedish Lund University spin-out focused on creating nanowire-based LED-displays for mobile phones and smart watches as well as AR- and VR-applications (LINK). 

 
Now Aixtron Reports: AIXTRON SE (FSE: AIXA), a worldwide leading provider of deposition equipment to the semiconductor industry, announced today that it has received an order for an AIX G5+ platform from Swedish-American company glō-USA, Inc. The group focuses on the commercialization of micro-LED (mLED) products based on their proprietary defect-free GaN nanowires technology. Such 3D structures enable the growth of mLEDs while maintaining the reliability of an inorganic material system. AIXTRON’s AIX G5+ Planetary Reactor® system was selected in the scope of glō’s strategic expansion and will be delivered with an 8x150 mm configuration in the course of Q4/2017.

Monday, September 18, 2017

UK based IQE Extends Production with Multiple AIX 2800G4-TM Systems from AIXTRON

As sreported by LED Inside: AIXTRON SE, a worldwide provider of deposition equipment to the semiconductor industry, received a multiple MOCVD system order from IQE plc, the British supplier of advanced wafer products and wafer services to the semiconductor industry. The AIX 2800G4-TM automated Planetary reactors® expected to be deployed for the growth of gallium arsenide-based (GaAs) epi-wafers on 6-inch substrates for the production of VCSEL (vertical-cavity surface-emitting laser) for a range of photonic applications. 

Image from Aixtron.com

Thursday, September 14, 2017

ALD Nano today announced Wayne Simmons as CEO

ALD Nano today announced that its Board of Directors has appointed Wayne Simmons as Chief Executive Officer effective September 2017. Dr. Simmons was also elected to the Board of Directors. He will replace Founding CEO, Mike Masterson, who will assume the role of Executive Chairman with an added advisory role for key markets and will continue to serve as Chairman of ALD Nano's Board. The company has also announced that Joe Spencer was elevated to Vice President of Engineering and that its employee base continues to expand with new hires in areas including engineering, science and business development.
“This is the right time for Wayne Simmons to become ALD Nano's next CEO. We've selected a successful leader at a time when ALD Nano’s global leadership in ALD on particles is growing in existing and new markets ,” said ALD Nano Chairman Mike Masterson. “I joined ALD Nano because I wanted to be a part of a company that is solving important challenges for advanced materials that are transforming industries," said Wayne Simmons. “This company has built over a decade of ALD expertise with all of its business and scientific founders still active. Together, additional expertise has been added over the years that creates a wealth of institutional ALD knowledge to deploy into commercial markets."
Full story and Source: ALD Nanosulution Newsletter LINK

Wednesday, September 13, 2017

Dow and DuPont announces successful completion of merger

The Dow Chemical Company and E.I. du Pont de Nemours & Company (DuPont) report that they have successfully completed their merger. The combined entity is now operating as a holding company under the name DowDuPont with three divisions - agriculture, materials science and specialty products, trading on the New York Stock Exchange under the stock ticker symbol DWDP.'Today marks a significant milestone in the storied histories of our two companies,' said Andrew Liveris, executive chairman of DowDuPont. 

Source: Materials Today LINK


NCD supplied Full Automation for Lucida GS Series to Tongwei Solar

NCD has recently supplied Full Automation System to Chinese Tongwei Solar for solar cell manufacturing ALD equipment. This is Full Automation System including pitch changer to supply wafers automatically to Lucida GS ALD Series which had already installed in the customer site for high quality Al2O3 thin film deposition. The connection of ALD and Full Automation System to handle wafers automatically will make faster and more convenient ALD process.

In the future, the sales of Full Automation System along with ALD equipment will be increasing because most customers will need more productivity and more efficiency of work. 
Lucida™ GS Series + Automation

Tuesday, September 12, 2017

Entegris Expands Its Taiwan Technology Center To Add New Microcontamination Analysis And Technology Development Capacity

BILLERICA, Mass., Sept. 12, 2017 /PRNewswire/ -- Entegris Inc. (NASDAQ: ENTG), a leading specialty materials provider, today announced the expansion of its Taiwan Technology Center for Research and Development (TTC) in Hsinchu, Taiwan. The expansion adds a new Microcontamination Control Lab (MCL) that focuses on filtration media development and is home to the company's relocated Asia Applications and Development Labs (AADL) for trace metal, organic contaminant, and nanoparticle analysis. This addition to the Center's existing R&D, formulation scale-up, and pilot production capabilities also creates a single, off-site collaboration location for our customers' specialty chemical, CMP and liquid filtration needs.
 
 
Key facts for the $8.5 million USD investment:
  • Class 1000 cleanroom
  • 5x increase in lab space
  • Facility renovations and equipment upgrades
"Interactions and dependencies between process materials and equipment are at a critical evolution point as device scaling continues to be a leading driver for efficient construction of today's devices. Bringing the industry's brightest minds together in a state-of-the-art facility enhances Entegris' unique ability to meet these needs," offered Entegris Chief Operations Officer, Todd Edlund. "By expanding the MCL facility, we bring together core-competencies in liquid filtration, specialty chemicals, and CMP to create more holistic analytical services and technology development solutions designed to meet our customer's Logic, DRAM, and 3D NAND device manufacturing challenges."

Friday, September 8, 2017

Entegris & Hubei Jingxing Sign Manufacturing Agreement For TEOS Supply In China

Entegris recently announced that it has signed an agreement with Hubei Jingxing Science & Technology, Incorporated, Co., Ltd. (Hubei Jingxing), a specialty chemical manufacturer in Suizhou (Hubei Province), China to manufacture Entegris high-purity deposition products. These high-purity deposition products include TEOS (tetraethyl orthosilicate), a material particularly critical to enabling 3D NAND technology which is used in semiconductor manufacturing to produce leading-edge, faster storage, and memory.



"Our partnership with Hubei Jingxing enables us to expand capability for Entegris in China and shorten the deposition materials supply chain for Chinese customers," stated Entegris Senior Vice President and General Manager of Specialty Chemicals and Engineered Materials, Stuart Tison. "We are proud to become the first international company to establish high-volume TEOS manufacturing capacity in China, Entegris UltraPur™ TEOS , which is critical for the manufacture of 3D NAND and supports the overall growth of the semiconductor industry in the country."

"Our partnership with Entegris allows us to further expand our competencies in high-purity materials manufacturing," said Hubei Jinxing General Manager, Ye Gang. "Additionally, we will adopt manufacturing processes to match existing Entegris processes, as well as employ their quality control system to produce the most consistent results."
TECHCET ANALYSIS: China continues to invest to support the 2D-NAND to 3D-NAND transition tracked by TECHCET in terms of wafer starts and materials, equipment and delivery equipment. According to TECHCET's Critical Materials Report on advanced dielectric precursors, the materials market (ALD, CVD and SOD) was roughly US$365M in 2016, should break $400M in 2017, and is estimated to reach $560M for 2021 by a forecasted CAGR of 11% over the period as a whole. TEOS is a versatile CVD precursor in semiconductor manufacturing, is used across all nodes for STI and gap-fill with demand estimated at almost $30M, and should out-grow wafer starts due to new applications on the horizon. Growth is clearly driven by dielectric Plasma ALD deposition in multiple patterning, and by dielectric CVD in the 3D-NAND dielectric layer stack (48-72 layers today). 

More information on Dielectric Precursors : LINK

Thursday, September 7, 2017

ALD Enabled Battery Materials, Methods and Products IP Roll-up by Forge Nano

Forge Nano is pleased to announce the completion of its Intellectual Property roll-up initiative for Atomic Layer Deposition (ALD) enabled battery materials, methods of manufacturing, and products.

Through a series of patent filings, acquisitions, and licenses, Forge Nano is pleased to offer its customers and partners a comprehensive IP portfolio to incorporate the benefits of ALD surface modification coatings into battery products for enhanced safety, lifetime and end-use performance. Forge Nano is currently accepting licensing offers for this portfolio, with the anticipation of closing on a first round of field-limited agreements by the end of 2017. 

The cornerstone of Forge Nano’s ALD-enabled battery materials IP protects lithium-containing cathode and anode materials with coatings of up to two nanometers in thickness (US 9,570,734):

Claim 1: An electrode comprising a plurality of particles having a diameter of maximally 60 μm, wherein the particles are coated with a protective layer having a uniform thickness of about 2 nm or less, wherein the protective layer of the particles is obtained by atomic layer deposition, and wherein the particles are lithium-containing particles.

Monday, September 4, 2017

Taiwan top-3 foundries combined revenues to rise 13% in 3Q17

Combined revenues of Taiwan's top-3 foundries - Taiwan Semiconductor Manufacturing Company (TSMC), United Microelectronics (UMC) and Vanguard International Semiconductor (VIS) - are estimated at US$9.61 billion in the third quarter of 2017, up 13.3% sequentially but down 0.1% on year, according to Digitimes Research.

Source: DIGITIMES LINK