Thursday, December 22, 2016

SK Hynix to invest $2.7 billion on memory chip output as demand soars

According to Reuters the world´s number two memory maker from South Korea - SK Hynix Inc - said it will invest 3.16 trillion won ($2.7 billion) in its home country and China to boost memory chip production, seeking to capitalize on an industry-wide surge in demand.

 Most of the investment will be for a new Fab for 3DNAND flash chips used in non-volatile data storage in Smartphones, Tablets and Laptop Flash SSD hard drives.
 
The rivals Samsung and Toshiba Corp has also announced spending on new Fabs memory chip production.
 
REUTERS article : LINK

Applied Materials reportedly missing out on ALD for OLED encapsulation

Applied Materials is world leading in CVD processing for wafer and display. However, i big part of the CVD equipment market today is the booming segment of ALD where Applied only have single digit percentage of the revenue. According to a recent report by Seeking Alpha, "Applied Materials is facing strong competition as its deposition technology is being supplanted by Atomic Layer Deposition at Samsung Electronics and LG Display". Other applications where Applied Materials did not enter with ALD include:
  • DRAM High-k MIM Capacitors
  • Logic High-k Metal Gate Stack 
  • Double Patterning 
However, Applied Materials has reported strong revenue in Selective Etch and Olympa ALD (>USD 230M) Possibly they have some advanced Spatial ALD technology up the sleeve also for OLED encapsulation.

 Watch For Big Display Equipment Cancellations Coming From Applied Materials

  • Tight supply of a critical piece of OLED equipment from Canon Tokki will limit OLED production in 2017 and impact Applied Material's sales of supplemental equipment.
  • Applied Materials also is facing strong competition as its deposition technology is being supplanted by Atomic Layer Deposition at Samsung Electronics and LG Display.
  • As much as $1 billion in display equipment from Applied Materials could be cancelled in 2017.
Full report

More details on the Canone Tokki and Apple OLED roll out story here from Bloomberg:

Apple's Search for Better iPhone Screens Leads to Japan's Rice Fields [Bloomberg TV]

The ELVESS OLED mass production system. Source: Canon Tokki Corp.

Wednesday, December 21, 2016

CVD Acquires Assets of Danish Tantaline A/S

CENTRAL ISLIP, N.Y., (Business Wire) – December 19, 2016 - CVD Equipment Corporation (NASDAQ: CVV), a leading provider of chemical vapor deposition systems, has purchased certain assets (including all of the IP) formerly owned by Tantaline A/S of Nordborg, Denmark through our wholly owned subsidiary, CVD Materials Corporation. Formed in 2007, as a spin off from The Danfoss Group, Tantaline A/S established itself as a leader in the commercialization of tantalum treated parts for corrosion resistance. We have now established in Nordborg a new and wholly owned CVD subsidiary operating under the name Tantaline CVD ApS (“Tantaline®”). 

 This innovative tantalum chemical vapor technology, called Tantaline® treatment, is used to create a tantalum surface alloy on parts including valves, fittings, autoclaves, process chambers, flow reactors, fasteners, mixers, flowmeters, and medical devices, as well as other parts that are prone to corrosion in harsh environments. These parts are used across a broad range of industries including chemical processing, oil & gas, mining, pharmaceutical, and medical. In hot corrosive acidic environments (>150°C) such as sulfuric, nitric, and hydrochloric acids, Tantaline® treated parts outperform most high priced specialty alloys and perform nearly at the level of solid tantalum parts. Tantaline® treatment therefore provides solid tantalum like superior corrosion resistance at a lower part cost.

ALD and 300 mm Wafer processing - Fabs, Applications & OEMs

IC Insight has released a number of reports now in December (see links below). One of them is the new Global Wafer Capacity 2017-2021 and here it is clear - when it comes to high volume production and wafer size it is clear that 450mm is dead - nobody is going down that path. For the top ALD OEMs the main revenue is on 300mm wafer processing tools, single wafer, multi wafer and large batch furnaces.

Since Samsung went ahead with high volume manufacturing using an ALD High-k as node dielectric at 90 nm for DRAM MIS Capacitors ALD has mainly been employed on 300 mm wafers. I am guesstimating that 80 to 90 % of the total annual revenue for ALD equipment are 300 mm wafer processing equipment. The top suppliers in annual revenue for the 300 mm market in descending order according to my estimates for 2015 was: 

1. ASM International
2. Tokyo Electron
3. Kokusai
4. Lam Research
5. Jusung Engineering
6. Wonik IPS
7. Aixtron
8. Applied Materials
9. Picosun
10. Ultratech. 

Adding to that there are a number of OEMs in South Korea besides Jusung and Wonik IPS that are supplying 300 mm ALD tools but I lack insight into them and therefore I am rather leaving them outside the list. By the end of the 1st quarter 2017 there will be new numbers available for a estimation for 2016 and it will be interesting to see if Applied Materials and Lam Research have taken market shares in ALD for the booming 3DNAND, patterning business and maybe BEOL applications where ASM is not that strong and Large Batch Furnaces are more seldom used (TEL & Kokusai).

Following the table below from IC Insight you can see that  the top 10 manufacturers having 300 mm fabs and thats´s where you will find a majority of those ALD reactors shuffling 300 mm wafers for the major ALD process modules that are:

  • DRAM Capacitors
  • HKMG Transistor stacks
  • Liners & Spacers
  • Multipple patterning
  • 3DNAND Gate stacks
  • Cu seed, barries and caps inBEOL interconnects
Most interestingly the memory companies (Samsung, Micron & SK Hynix) are shuffling the most amount of 300 mm wafers, almost 50% of them, and besides the big ALD install base in Logic Gate stacks and patterning you will find a huge amount of installed ALD tools in those DRAM and NAND flash fabs. 





Total Memory Market Forecast to Increase 10% in 2017
-- December 20, 2016
Number of IC Manufacturers Using 300mm Wafers Less than Half Using 200mm Wafers-- December 16, 2016
Five Suppliers Hold 41% of Global Semiconductor Marketshare in 2016-- December 06, 2016

Tuesday, December 20, 2016

Jusung Engineering signs USD 15 million contract with Chinese Kunshan OLED Line


Jusung Engineering Says it has signed a 18.03 billion won (US$15.2 million) contract with Chinese Kunshan Govisionox Optoelectronics Co., Ltd. to provide display manufacturing equipment. (Source : LINK)

According to Iter News the equi9pment from Jusung is for OLED Encapsulation and the OLED Display ilne in Kushan will come online 4Q 2017 and will have a monthy capacity of 8,000 sheets. Reportedly, Jusung have previously supllied equipment also fro LG Display and Innolux in Taiwan.

Jusung´s platform for OLED Encapsulation with a new concept for zero-damage plasma technolog producing a very high-quality thin film  technology at low temperature (www.jseng.com)

According to Jusung website they supply the following technology for OLED Display encapsulation:

KEY BENEFITS
CVD/ALD two-way encapsulation system suitable for large-area encapsulation
- Large-area encapsulation using new concept plasma technology for the first time in the world TSD-CVD/ALD (Time Space Divided)

KEY APPLICATIONS
- Encapsulation (SiO / SiOX / SiN / Al2O3)
- Barrier (SiO / SiOX / SiN / Al2O3) 

Thursday, December 15, 2016

Samsung Likely to Spin off Foundry Business Division

SEOUL,KOREA, 12 December 2016: Samsung Electronics is considering a reorganization of the System LSI division in order to systematically grow the system semiconductor business. The company is planning to separate the design and manufacturing sectors in the business unit and divide or spin off it to fabless and foundry business divisions

Wednesday, December 14, 2016

SEMI Forecasts - 62 New Fabs to Start Operation 2017 to 2020

Driving the growth in ALD Equipment 2017 to 2020 will be the building and starting of operations of 38 Front End Fabs for Foundry (20), Logic (5) and Memory (13). For this CVD, ALD and Advanced Etch Equipment, Including ALE will be needed for HVM of sub 20 nm nodes Logic & DRAM and next Generation 3DNAND.

SEMI Reports: Data from SEMI’s recently updated World Fab Forecast report reveal that 62 new Front End facilities will begin operation between 2017 and 2020. This includes facilities and lines ranging from R&D to high volume fabs, which begin operation before high volume ramp commences. Most of these newly operating facilities will be volume fabs; only 7 are R&Ds or Pilot facilities.


SEMI's latest World Fab Forecast. By product type, 32 percent are foundries, 21 percent are Memory, 11 percent LED, then Power, MEMS, Logic, Analog, and Opto, in decreasing order. Between 2017 and 2020, China will see 26 facilities and lines beginning operation, about 42 percent of the worldwide total currently tracked by SEMI.  The majority of the facilities starting operation in 2018 are Chinese-owned companies. The peak for China in 2018 comes mainly from foundry facilities (54 percent). The Americas region follows with 10 facilities, and Taiwan with 9 facilities. Data: SEMI.
 

New facilities & lines starting operation by product type from 2017 to 2020. Data: SEMI.

Tuesday, December 13, 2016

Semiconductor Equipment Sales Forecast ─ $40 Billion

TOKYO — December 13, 2016 — SEMI, the global industry association representing more than 2,000 companies in the electronics manufacturing supply chain, today reported that worldwide sales of new semiconductor manufacturing equipment are projected to increase 8.7 percent to $39.7 billion in 2016, according to the SEMI Year-end Forecast, released today at the annual SEMICON Japan exposition. In 2017, another 9.3 percent growth is expected, resulting in a global semiconductor equipment market totaling $43.4 billion.
The SEMI Year-end Forecast predicts that wafer processing equipment, the largest product segment by dollar value, is anticipated to increase 8.2 percent in 2016 to total $31.2 billion. The assembly and packaging equipment segment is projected to grow by 14.6 percent to $2.9 billion in 2016 while semiconductor test equipment is forecast to increase by 16.0 percent, to a total of $3.9 billion this year. For 2016, Taiwan and South Korea are projected to remain the largest spending regions, with China joining the top three for the first time. Rest of World (essentially Southeast Asia), will lead in growth with 87.7 percent, followed by China at 36.6 percent and Taiwan at 16.8 percent. SEMI forecasts that in 2017, equipment sales in Europe will climb the most, 51.7 percent, to a total of $2.8 billion, following a 10.0 percent contraction in 2016. In 2017, Taiwan, Korea and China are forecast to remain the top three markets, with Taiwan maintaining the top spot even with a 9.2 percent decline to total $10.2 billion. Equipment sales to Korea are forecast at $9.7 billion, while equipment sales to China are expected to reach $7.0 billion. The following results are given in terms of market size in billions of U.S. dollars:

Monday, December 12, 2016

Flexible displays to grow 135% in 2017 and are expected to reach 139 million units

IHS Markit reports: As more smartphone manufacturers build designs using flexible display technology, shipments of flexible displays are expected to reach 139 million units in 2017, an increase of 135 percent compared to 2016. Further, the flexible displays are expected to comprise 3.8 percent of total display unit shipments in 2017.
  • Vivo and Xiaomi launched their first smartphones with flexible active-matrix organic light-emitting diode (AMOLED) displays in 2016.
  • Apple is expected to launch its new iPhone using flexible AMOLED display in 2017.
  • In 2017 Samsung Display and LG Display will start operating new fabs for flexible displays.
 Flexible display shipment forcast (Source IHS Markit)

Friday, December 9, 2016

Aixtron considers partial sell-off after Chinese deal blocked

REUTERS : German semiconductor chipmaking machinery company Aixtron (AIXGn.DE) may sell off part of its business, its chief executive said in an interview published on Friday, opening the door for bidders after a deal with a Chinese company collapsed.

China's Fujian Grand Chip Investment Fund dropped its 670 million-euro ($712 million) bid for Aixtron earlier this week after the United States blocked the deal on security grounds, throwing the German company's future into doubt.


Martin Goetzeler, Chief Executive Officer (Aixtron.com)
 
"There are two options: First, we could hope that the markets for our products recover and continue investing high sums in new equipment. But that would come with high development and ramp-up costs, and risks," Martin Goetzeler told German daily Handelsblatt.

"Or Aixtron could shrink, divest technologies and continue with a specialized offering," he said.


Aixtron makes devices which produce crystalline layers from gallium nitride that are used as semiconductors in weapons systems.

Its technology is being used to upgrade U.S. and foreign-owned Patriot missile defense systems and the U.S. Treasury said the deal had been blocked due to national security risks.

Full report at Reuters : LINK

Thursday, December 8, 2016

China's Fujian drops Aixtron bid after U.S. blocks deal

Seeking Alpha reports:
  • China's Fujian Grand Chip Investment Fund drops its takeover bid for German chip equipment maker Aixtron (NASDAQ:AIXG), saying its offer had lapsed as it had failed to obtain U.S. regulatory approval for the deal.
  • AIXG's technology is being used to upgrade both U.S. and foreign-owned Patriot missile defense systems, and the U.S. blocked the deal last Friday due to national security risks.

Taiwan's TSMC to build $16bn advanced chip facility

Sunday, December 4, 2016

Aixtron, Fujian to explore what is left of deal after U.S. veto

(REUTERS): German semiconductor equipment maker Aixtron will explore with its Chinese suitor what can be salvaged of the planned takeover after a U.S. presidential order ruled the deal posed a national security risk, the company said on Saturday.

U.S. President Barack Obama blocked China's Fujian Grand Chip Investment Fund (FGC) from acquiring Aixtron's U.S. business, the Treasury Department said on Friday.



"The bidder and Aixtron are evaluating the impact of the Order on the conditions to be fulfilled under the takeover offer and will coordinate with the German Federal Financial Supervisory Authority (BaFin) to examine the consequences of the Order on the takeover process," Aixtron said in a statement.

It added the presidential order was limited to Aixtron's U.S. business and did not per se prohibit the acquisition of Aixtron shares and American depositary shares FGC.

Aixtron has previously said that scrapping the proposed deal would mean it would have to cut costs and jobs would be at risk. 

It added the presidential order was limited to Aixtron's U.S. business and did not per se prohibit the acquisition of Aixtron shares and American depositary shares FGC. Aixtron has previously said that scrapping the proposed deal would mean it would have to cut costs and jobs would be at risk.

Saturday, December 3, 2016

Versum Materials Reports Strong Fourth Quarter

TEMPE, Ariz.–(BUSINESS WIRE)–Dec. 1, 2016– Versum Materials, Inc. (NYSE: VSM), a leading materials and equipment supplier to the semiconductor industry, today reported results for the fourth quarter and full fiscal year ended September 30, 2016. Net income for the fourth quarter of $45 million was up 5% versus the comparable prior year period while fiscal 2016 net income of $212 million was up 15% versus prior year. Adjusted EBITDA for the quarter of $78 million was up 12% versus the comparable prior year period while fiscal 2016 adjusted EBITDA of $327 million was up 8% versus prior year.

The results reflect the performance of Versum Materials as a wholly owned subsidiary of Air Products and Chemicals, Inc. (NYSE: APD) (“Air Products”), and are derived from the consolidated financial statements and accounting records of Air Products as if Versum operated on a stand-alone basis during the periods presented and were prepared in accordance with GAAP.

“For the Versum team, this fourth quarter closes a strong final chapter as a subsidiary of Air Products and sets us up for a bright future ahead as an independent company, focused on delivering meaningful value to our customers, shareholders and employees,” said Guillermo Novo, our President and Chief Executive Officer. “With a broad portfolio of products and services, Versum is uniquely positioned to grow and lead through innovative solutions and services for our customers in the semiconductor industry.”
Full report : LINK

President Obama set to block Aixtron sale

Seeking Alpha reports that President Obama is poised to block a Chinese company from buying Germany's Aixtron (NASDAQ:AIXG) because Northrop Grumman (NYSE:NOC), a major U.S. defense contractor, is among the chip equipment maker's customers.

It would mark only the third time in more than a quarter century that the White House rejected an investment by an overseas buyer as a national security risk.

Aixtron shares -6.5% in Frankfurt.


China Warns U.S. Against Blocking Aixtron Takeover

Aixtron shares fall amid U.S. national security concerns over acquisition by China’s Fujian Grand Chip Investment Fund [Wall Street Journal]

Obama bars China's Fujian from buying Aixtron's US business


The headquarters of German chip equipment maker Aixtron SE is pictured ... The Treasury Department said Obama was blocking the deal .. [Reuters]

Warum redet Amerika in der Aixtron-Übernahme mit?

Die Übernahme des deutschen Maschinenbauers Aixtron durch einen chinesischen Investor ruft die große Politik in Washi [FAZ - Frankfurter Allgemeine Zeitung]