Sunday, October 30, 2016

ALD news & future outlook from the 3Q 2016 ASM Interlational earnings call

Here is a summary and some personal reflections of the ASM International 3Q/2016 earnings call (Full version at SeekingAlpha) having the ALD binoculars on, as always. In any, case nothing else than ALD was discussed and the main focus was on single wafer ALD and not batch furnace directly. There was however an interesting question from ING on the rumors that ASM will place an offer on Hitachi Kokusai, which Chuck del Prado declined to comment on other than in general terms that ASM has an organic growth strategy and will take opportunities if they give long term growth and therefore share holder value.

My take on this is that we know ASM has made very successful acquisitions in the past, i.e., Microchemistry Oy Finland (ALD technology) and Genitech South Korea (PEALD) and both acquisitions have become crucial fundaments to ASM ALD domination today. However, ASM has not been that successful in ALD Large Batch business, which is dominated by Tokyo Electron and Hitachi Kokusai.

Kokusai also have Batch SiGe Epi process which could add to the ASM Epi business. Based on this it makes sense for ASM to acquire Kokusai. Timing is also good since it is also for sale and ASM has a lot of cash so it is very interesting times. However, you can imagine that also other OEMs are interested in Hitachi Kokusai so let´s see what the outcome will be.

Over to the call - ASM International President and CEO Chuck del Prado reported that as usual the ALD business was again the main driver in the third quarter. The revenue was led by foundry, followed by memory (3DNAND & DRAM) and not so much by logic, which decreased compared to 2Q/2016. The driver for in foundry and logic was driven by 10 nm investments taking place and in memory there was a drift from DRAM towards 3D NAND.

Foundry & Logic

The transition to 10 nm shows an increase in the number of ALD layers for which ASM has been been claiming additional business as compared to the previous 14 nm 16 nm generation. ASM expect to book record revenue in the foundry segment this year compared to previous years.

Memory

Following strong spending levels in 2015 DRAM the  spending went down substantially in 2016. A recovery in DRAM spending has been pushed out and is not expected to occur before mid 2017. The key driver will then be the 1X technology node (Samsung, Hynix and Micron).

For NAND flash that is in transition, the single wafer ALD market and customer spending in NAND flash has shifted from planar NAND to 3D NAND. As a consequence the multiple patterning in planar NAND has close to disappeared by now.

For 3D NAND Chuck del Prado announced that ASM has booked multiple XP8 tool orders for a number of ALD applications in the third quarter and ASM expect double digit growth in the 3D NAND single wafer ALD market in 2017.

For Xpoint (Intel & Micron) ASM announced that they have a R&D engagement for quite some time and are ready for the that market to take off. 
Eagle XP8 is a high productivity 300mm tool for PEALD applications. The Eagle XP8 PEALD system can be configured with up to four Dual Chamber Modules (DCM), enabling eight chambers in high volume production within a very compact footprint. (www.asm.com)

Future outlook of the ALD market

ASM maintain their forecast that the single wafer ALD market will show a double digit percentage decline in 2016. As explained above due to a significant drop in the memory segment, both in the DRAM and in NAND flash. The drop is only partially offset by a substantial increase in the logic/foundry segment, i.e., 10 nm investments.

For 2017 ASM expectation is that the (single wafer) ALD market will improve due to growthin logic/foundry and 3D NAND applications. However, DRAM spending will come later (mid 2017).


"... the longer term outlook for the single wafer ALD market, the outlook for structural growth remains strongly driven by miniaturization and the introduction of new materials and new complex device architectures. We still estimate that these markets, this market to double by the 2018, 2019 timeframe. Given the decline in the market in 2016, it is more likely that this will happen in 2019 than in 2018." - Chuck del Prado 

Chuck del Prado summarized the growth drivers in single wafer ALD to:
  • Complexity and low temperature requirements of advanced FinFET structures drive a strong increase in new ALD applications and layers.  
  • ALD-based multiple patterning is a key enabler of the 10 nanometer transition and also the 7 nanometer node in logic/foundry, and the transition from 14/16 nanometer to 10 nanometer and 7 nanometer, over a multiyear period, will expand the single wafer ALD served available market in logic/foundry to more than double in total. 
  • In DRAM, we expect multiple patterning to remain a steady contributor for the coming technology transition. 
  • In NAND, from a lower base in 2016, we foresee a steady increase in the number of single wafer ALD applications as customers transition to next generation higher stack 3D NAND devices in the coming years.
During the questioning at the end of the call Chuck del Prado reassured the statement form earlier that the the more than doubling in ALD growth is the transition from 16/14 nm to 10 and 7. I assume he also include the single wafer opportunities in 3DNAND and the 1x nm DRAM invest up ahead.

For us ALD R&D guys it would mean that we would need to increase our R&D effort all the same and plan for >1600 delegates at the next European ALD conference 2020 as ALD2016 Ireland had 811 delegates - or has ALD transitioned form a technology push to a Industry pull already some years ago?
 

Wednesday, October 26, 2016

ASM International today reports its third quarter 2016 operating results

REPORTING 2016
With the 2015 Q4 earnings release, published on February 23, 2016, ASMI announced that as of January 1, 2016, it will report its financial results in accordance with IFRS. Up until the last reporting regarding 2015, ASMI's primary external and internal reporting has been based on US GAAP. In addition ASMI issued quarterly reconciliations of net earnings and shareholders' equity and (semi) annual financial statements prepared in accordance with International Financial Reporting Standards (IFRS). Following the voluntary delisting from NASDAQ, August 2015, ASMI migrated to IFRS as its only internal and external reporting standard from January 1, 2016 and discontinued the use of US GAAP as of the same date. During 2016 comparable results based on US GAAP will be presented, as from 2017 results based on IFRS only will be reported.



The main deviations between IFRS and US GAAP are explained in Annex 2.
FINANCIAL HIGHLIGHTS
ASMI results based on IFRS.

Quarter
EUR million
Q3 2015
Q2 2016
Q3 2016
New orders
148.1

159.1

122.6

Net sales
162.0

138.7

144.2

Gross profit margin %
43.4
%
43.8
%
44.2
%
Operating result
30.6

16.7

16.8

Result from investments (excl. Amortization intangible assets resulting from the sale of the 12% stake of ASMPT)
8.6

16.4

26.7

Amortization intangible assets resulting from the sale of the 12% stake of ASMPT
(6.7
)
(6.7
)
(6.7
)
Net earnings
42.8

35.6

33.1

Normalized net earnings (excl. Amortization intangible assets resulting from the sale of the 12% stake of ASMPT)
49.5

42.3

39.8


  • Net sales for the third quarter 2016 were €144 million, an increase of 4% compared to the previous quarter. Year-on-year net sales decreased with 11%.
  • New orders at €123 million were 23% below the Q2 2016 level.
  • Normalized net earnings for the third quarter 2016 decreased by €2 million compared to the second quarter 2016. Operating result was stable at €17 million. The financing result included €3 million negative effects from currencies compared to €8 million positive effects in the second quarter. The result from investments increased with €8 million.


Monday, October 24, 2016

Rumor - ASM International considering bid for Hitachi’s Kokusai Unit

According to several media reports/rumors - ASM International considering bid for Hitachi’s Kokusai Unit that is up for sales. Both ASM International and Hitachi Koksai have large ALD business units.

IN DUTCH: AMSTERDAM (AFN) - ASM International (ASMI) overweegt een meerderheidsbelang te nemen in de toeleverancier aan de chipindustrie Hitachi Kokusai Electric. Dat meldde persbureau Bloomberg maandag op basis van ingewijden.

Earlier this month Bloomberg reported that Hitachi Ltd. is considering a sale of its controlling stake in Hitachi Kokusai Electric Inc. [LINK]



The German government withdraws its approval for a Chinese takeover of Aixtron

Seeking Alpha Reports : The German government withdraws its approval [Bloomberg] for a Chinese takeover of chip equipment maker Aixtron (NASDAQ:AIXG), raising an unexpected hurdle for a €670M ($728M) deal on the home stretch. Germany's economics ministry says it is reopening its review of Grand Chip Investment’s takeover after clearing the €6/share deal just last month. The planned purchase of AIXG is part of a wave of Chinese acquisitions of German tech companies, and the holdup appears to signal a growing backlash against such activity within the Merkel government.
 
 

Friday, October 21, 2016

ALD Equipment Market - Global Industry Analysis Size Share Growth Trends and Forecast 2016 - 2024

The presence of a large number of international and local companies is boosting competition in the global atomic layer deposition (ALD) equipment market. As per a new study by Transparency Market Research (TMR), the competition prevailing in the market is expected to intensify further between 2016 and 2024. As of 2015, ASM International N.V., Applied Materials, Inc., and Tokyo Electron Ltd. cumulatively held a share of 58.9% in the global market. Of these, ASM International N.V. emerged as the top player, accounting for over 28% of the global ALD equipment market in 2015. Rivalry among key players in terms of product development and technology and product upgrades is expected to intensify during the forecast period.

The global ALD equipment market stood at US$875.0 mn in 2015. Exhibiting a CAGR of 29.4% between 2016 and 2024, the market is poised to reach US$8.58 bn by the end of 2024.

“Due to the recent advancements in the atomic layer deposition technology, the use of ALD equipment has considerably increased in the nanotechnology sector,” says a lead analyst at TMR. TMR expects applications of ALD equipment as manipulation and fabrication tools in nanotechnology to rise further in the short term. “Growing concerns pertaining to carbon emissions have accelerated investment in the R&D of photovoltaics. Due to the increasing research and development activities, the use of ALD as a PV manufacturing method has substantially expanded,” adds the analyst. This rising demand for photovoltaic cells, combined with rapid advancements witnessed in PV technology, will subsequently augment sales of ALD equipment in the forthcoming years.

Thursday, October 20, 2016

Lam Research's Next Acquisition Opportunity

Here is a review of Lam Research future merger / take over opportunities of wafer based equipment manufacturers by Robert Castellano at Seeking Alpha. From an ALD point of view an acquisition of Hitachi Kokusai that is actually up for sell is interesting since Lam has no Furnace ALD technology and could then compete with TEL for that business too. And yes Applied Materials also have no Furnace business at all.

Main points by Robert Castellano:
  • Following the breakdown in the Lam Research - KLA-Tencor merger, Lam has $5 billion in cash that can be used for future mergers or acquisitions.
  • Hitachi Kokusai announced it was exiting its semiconductor equipment business by selling Hitachi Kokusai.
  • Hitachi Kokusai is a market leader in thin film deposition equipment, which will compliment Lam Research's line of equipment.
 Full article can be found here.

Lam Research see growth in atomic level processing : ALD & ALE

Lam Research's (LRCX) CEO Martin Anstice on Q1 2017 Results - Earnings Call Transcript by Seeking Alpha [LINK]

Martin Anstice, President and Chief Executive Officer of Lam Research stated in a call on the financial results for the September 2016 quarter that Lam Research has achieved a new record for shipments in the quarter. 
With respect to ALD and ALE he made this encouraging statement early on in the call:

"Our differentiated product pipeline momentum continues apace most recently with metal ALD in 3D NAND and atomic level etch in dielectric foundry applications. In addition, our business teams are achieving more than 90% success in critical defenses and new market application penetrations combined which is extremely rewarding. This is an exciting time to be part of the semiconductor ecosystem, no doubt."

Martin Anstice went on and gave the following outlook for 2017:

"As we look into 2017, we are encouraged by the strong momentum in 3D NAND. For numerous reasons, we are also more convinced and convicted in our belief that DRAM supply and demand conditions will continue to improve as we previously indicated. As a result, we have an upward bias to spending expectations for DRAM and NAND in 2017. We expect flat to a modest pullback in logic foundry spending as customers digest the 10 nanometer investments made in 2016 and commence initial 7 nanometer roadmaps. Overall, we are modeling slightly higher total WFE spend in 2017 with memory versus logic segment tailwinds for the company once more. Again, our objective will be to outgrow WFE in 2017." 

Please find the full transcript provided by Seeking Alpha here and the slides from the earnings call here.

Press release from Lam Research here.

2016 IC market forecast raised from -2% to +1%

Solid State Technology reports: IC Insights will release its October Update to the 2016 McClean Report later this week. This Updateincludes a review of IC Insights’ latest 2016 IC market forecast, an update on the rebounding DRAM market, and an extensive analysis of the optoelectronics, sensor/actuator, and discrete (O-S-D) markets. An excerpt from the October Update, describing the upgraded 2016 IC market forecast, is shown below...
 
Continue reading here.

China plans 3D NAND production next year with ALD

According to media reports (Digitimes and Electronics Weekly) Yangtze River Storage Technology (YRST) the Tsinghua Unigroup Chinese memory company, will start producing 3D NAND at the end of next year.

From Electronics Weekly:

XMC, which was taken over by YRST earlier this year, developed the flash technology with Spansion which is now merged into Cypress.

YRST’s Wuhan fab is due to start running wafers at the end of this year with first products due by the end of 2017.

The fab will make DRAM as well as flash. It will be built in three stages with an eventual planned capacity of 300k wpm in 2019

From an ALD point this is very interesting since this will be a big load for manufacturing and shipment of leading edge ALD Tools from various suppliers like Lam Research (Tungsten and dielectrics) and ASM International and maybe some of the Korean & Japanese Vendors as well into mainland China.

Until now there are no real leading edge CMOS Fabs in China however a number of Memory Fabs operated by Hynix and Micron and others that for sure are fully loaded with ALD Furnaces and Chambers

Thursday, October 13, 2016

ASM International will host an investor conference call and web cast on Thursday, October 27, 2016

ASM International N.V. (Euronext Amsterdam: ASM) will report operating results for the 2016 third quarter ended September 30, 2016 at approximately:
  • 18:00 p.m. Continental European Time - Wednesday, October 26, 2016.
     
  • 12:00 a.m. (noon) US Eastern Time - Wednesday, October 26, 2016.
ASM International will host an investor conference call and web cast on Thursday, October 27, 2016 at 15:00 Continental European Time (9:00 a.m. - US Eastern Time).
The teleconference dial-in numbers are as follows: 
  • United States:         +1 646 254 3367
  • International:           +44 (0)20 3427 1900
  • The Netherlands:     +31 (0)20 716 8256
  • Access Code:          2990659
A simultaneous audio webcast and replay will be accessible at www.asm.com.

Wednesday, October 12, 2016

Dutch SoLayTec book more orders for Spatial ALD PV PERC Systems

PV Magazine reports: Dutch company SoLayTec continues to book orders for its atomic layer deposition (ALD) systems.

The manufacturer, part of Arizona-based Amtech Systems, Inc., develops, delivers and services the machines for atomic layer deposition on solar cells worldwide. 

SoLayTec has booked 22 ALD system orders since its inception. (PV Magazine)

SoLayTec said Monday that it had received a follow-on order for three next generation solar ALD systems from an unnamed solar cell manufacturer in Asia and is expected to ship it in the next six months. The company, originally a spin-off firm of Dutch research organization TNO, launched in 2010. It has booked 22 ALD system orders since its inception, of which 15 are for mass production.

"This latest order is another indication of the growing recognition in the solar industry of the outstanding performance of SoLayTec's spatial ALD system to improve the cost of ownership of our customers' PERC cell processes,” said Fokko Pentinga, CEO and president of Amtech.

Read more: http://www.pv-magazine.com/news/details/beitrag/solaytec-finds-buyers-for-ald-systems_100026445/#ixzz4Msh8KUjV

Friday, October 7, 2016

Veeco Announces Reduction in ALD Technology Investments

Veeco Announces Reduction in ALD Technology Investments and Guides Third Quarter 2016 Revenue to High End of Guidance Range


  • Significantly reducing future investments in Atomic Layer Deposition ("ALD") technology development
  • ALD cost reductions expected to result in annualized savings of approximately $10 million
  • Expecting to record pre-tax charges of between $56 and $62 million in Q3 2016, the vast majority of which are non-cash impairment charges
  • Expecting Q3 2016 revenue to be at the high end of previously announced guidance range of $70 million to $85 million

Plainview, NY -- 10/05/16 -- Veeco Instruments Inc. (VECO) today announced additional cost reduction initiatives with the decision to significantly reduce future investments in its Atomic Layer Deposition ("ALD") technology development. This action reflects the company's ongoing focus to balance technology investments with the potential for associated revenue realization.

ALD cost reduction activities are expected to be complete by year end 2016 and are in addition to the previously announced restructuring plans, which targeted $20 million in annualized savings. In total, these initiatives are expected to generate $30 million in annualized savings.

Monday, October 3, 2016

Nanya to invest NT$50 billion over next 2 years including ReRAM and 3D Xpoint

According to Digitimes, Nanya is to invest NT$50 billion over next 2 years.

Taiwan-based DRAM maker Nanya Technology is looking to invest a total of NT$50 billion (US$1.6 billion) over the next two years to "enhance its product value rather than market share," according to company president Pei-Ing Lee.

Nanya will put its focus on new memory technologies including ReRAM and 3D XPoint, said Lee.Nanya recently issued a filing with the Taiwan Stock Exchange (TSE) disclosing a total of NT$343 million spent on facilities and machinery equipment. Nanya has budgeted a capex of NT$25 billion for 2016. Spending for the year will focus on the company's transition to 20nm process technology.